CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 4322 »
  1. binary_adder_tree_vhd

    0下载:
  2. This an reconfogurable binary Adder and this is the base for another modules in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

  1. johnson

    0下载:
  2. johnson计数器是一种同步计数器,每一次之变化一位,具有最简的组合逻辑电路。-johnson counter is a synchronous counter, each followed by a change, with the most simple combinational logic circuit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:532
    • 提供者:汪波
  1. crc32

    1下载:
  2. 循环冗余校验码,CRC32算法的Verilog代码-Cyclic redundancy check code, CRC32 algorithm Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:532
    • 提供者:陈飞
  1. hz

    0下载:
  2. 万能频率器,可以修改其中的参数,可是实现任意的分频!很方便!-Universal frequency, you can modify one of the parameters, but any implementation of the sub-band! Very convenient!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:533
    • 提供者:liyanjun
  1. Seven-Segment-Decoder

    0下载:
  2. 用VHDL语言在FPGA上实现将十进制bcd码转换成七段led显示码-FPGA using VHDL language to achieve will be converted to decimal bcd yards led seven segment display code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:533
    • 提供者:吴金通
  1. universal_counter

    0下载:
  2. This a universal counter with up/down signal,min_tick,max tick. it s written with generics so every one can use it.-This is a universal counter with up/down signal,min_tick,max tick. it s written with generics so every one can use it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:533
    • 提供者:Dan
  1. honglvdeng

    0下载:
  2. Verilog HDL作为一种规范的硬件描述语言,被广泛应用于电路的设计中。他的设计描述可被不同的工具所支持,可用不同器件来实现。利用Verilog HDL语言自顶向下的设计方法设计交通灯控制系统,使其实现道路交通的正常运转,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过Xilinx ISE6.02和ModelSim5.6完成综合、仿真。此程序通过下载到FPGA芯片后,可应用于实际的交通灯控制系统中。-Verilog HDL as a standard hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:533
    • 提供者:zhaomin
  1. pso-vhdl2

    0下载:
  2. i want verilogHDL and VHDL source coding.please help me-i want verilogHDL and VHDL source coding.please help me..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:533
    • 提供者:a.deivaseelan
  1. CLA_4

    0下载:
  2. 用verilog语言编写的CLA_4文件。CLA_4是4位超前进位加法器的源代码,该代码验证后功能正确,读者可以自行编写testbench代码进行验证。-With verilog language CLA 4 files. CLA 4 is a four-ahead adder source code after the code verification function correctly, readers can write their own testbench code for ver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:533
    • 提供者:huawei
  1. ALU.vhd

    0下载:
  2. Desarrollo de la Unidad Légica Aritmética (ALU) en VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:534
    • 提供者:ozkkr
  1. spi

    0下载:
  2. SPI接收模块,被注释掉的是发送模块,需要进一步地完善-SPI receiver module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:534
    • 提供者:qazmlp
  1. top_lcd_key

    1下载:
  2. fpga控制lcd12864液晶显示,以及矩阵键盘的控制-the use of lcd12864 and keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:534
    • 提供者:wuwei
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 4322 »
搜珍网 www.dssz.com