CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. keyboardxiaodou

    0下载:
  2. 按键消抖,参考。要根据脉宽的要求设置不同的记数宽度。-keys buffeting consumer reference. According to the pulse width with different requirements for the entry width.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1013
    • 提供者:buted
  1. DUOJI

    0下载:
  2. 飞思卡尔智能车程序设计 舵机 消抖 已测试-Freescale smart car steering debounce program design has been tested
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:481891
    • 提供者:Rojar
  1. timer

    0下载:
  2. 基于VHDL语言的一个简单秒表,包含按键消抖模块、数码管译码、计时器等模块。直接适用于basys2和nexys3两个开发板。更改ucf文件后适用于其他开发板-A simple stopwatch based on VHDL, including key debounce module, digital decoder, timers and other modules. Directly applicable to basys2 and nexys3 two development boards
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:16547
    • 提供者:潘健森
  1. coinwasher2

    0下载:
  2. 自动投币洗衣机的控制器设计,包含按键消抖,控制器模块,数码管显示,对电机的控制信号输出。投两颗币将实现洗半桶,投三颗币实现洗一桶-Automatic coin washing machine controller design, including key debounce, controller module, digital display, the motor control signal output. Throw two coins will achieve half a bucket
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1196957
    • 提供者:shen
  1. lesson5_3

    0下载:
  2. 按下P3.4,数码管1则加1,加到9之后再按下则清零。有按下消抖和释放消抖-Press P3.4, plus a digital one, then press add 9 after cleared. Press and release debounce have debounce
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7448
    • 提供者:曹武
  1. elec_lock

    0下载:
  2. 验证电子密码锁,具有密码设置,键盘扫描,键盘消抖,和万能密码等功能-Verify electronic locks, have a password set, keyboard, keyboard debounce, and universal passwords and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2059
    • 提供者:taoyouwu
  1. lessen5

    0下载:
  2. avr megal16单片机 按键实验 实验中 主要通过按键消抖,实现延时,从而达到判断按键的效果-avr megal16 SCM key experimental
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-26
    • 文件大小:54414
    • 提供者:王者
  1. xiaodou

    0下载:
  2. 具有消抖功能的秒表程序,可以通过按键来设定初始时间,比delay更加精确-Consumers have a stopwatch function Buffeting procedures, can be key to set the initial time delay more precise than
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2409
    • 提供者:hecoun
  1. zhuhangsaomiao

    0下载:
  2. 单片机矩阵键盘的逐行扫描法,采用了消抖,初学单片机者适用。-MCU Matrix keyboard progressive scanning method, using extinction shake, beginners microcontroller be appropriate.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1446
    • 提供者:acomplexa
  1. KEY_MUX

    0下载:
  2. 多按键独立工作程序,使用定时中断,延时消抖,每按一次按键有且只点亮一个led,而且相互间完全没有干扰。-Multi-key independent procedures, the use of the timer interrupt, delay debounce, each time you press the button has lit one and only led, but did not interfere with each other.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1177
    • 提供者:even
  1. Matrix-keyboard-detection

    0下载:
  2. 主要用于对矩阵键盘的消抖扫描,用的是P3口。-It is mainly used for shake elimination of matrix keyboard scanning, use the P3
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:20577
    • 提供者:石勇强
  1. ug480-ver1.5

    0下载:
  2. 利用实验板上的XADC资源,对芯片温度、内部电源进行定时采集和监控,并把信息存入blockram,可实现翻看,并有按键消抖模块-XADC resource use experimental board, the chip temperature, the internal power supply timing collection and monitoring, and put information into blockram, look can be achieved, and a key
  3. 所属分类:Com Port

    • 发布日期:2017-05-21
    • 文件大小:6645707
    • 提供者:梅兰竹菊
  1. anjian2

    0下载:
  2. EP2C35F系列开发板关于机械按键的消抖实验,测试,仿真代码-EP2C35F Series development board on key debounce mechanical experiments, testing, simulation code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2902320
    • 提供者:陈坤
  1. Stand-alone-keyboard-control

    0下载:
  2. 独立键盘控制 c语言 键盘消抖延时 51单片机-C language independent keyboard control 51 single keyboard debounce delay
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:4812
    • 提供者:王彬
  1. anjian

    0下载:
  2. 按键按下一般会产生抖动现象,工程必须掌握消抖的方法,此程序可以实现按键消抖。-Keys away shaking
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:540
    • 提供者:liujie
  1. key_scan

    0下载:
  2. 用C语言编写51单片机的键盘扫描程序头文件(含消抖)-51 single written using C language keyboard scan program header file (with debounce)
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:803
    • 提供者:caihuanjian
  1. key

    0下载:
  2. 掌握键盘消抖电路的设计方法键盘电路是单片机应用中的常用电路,但由于其机械动作常使得按键信号产生抖动,所以在单片机的应用开发中,键盘消抖电路起到了非常重要的作用.-Master Keyboard debounce circuit design methodology keyboard circuit is commonly used circuit microcontroller applications, but because of its mechanical movement often
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:233868
    • 提供者:任梦婕
  1. keyscan

    0下载:
  2. 4x4键盘的FPGA实现,包含阵列扫描和防误按消抖-4x4 keyboard FPGA, contains an array of scanning and anti mistakenly press debounce
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:558
    • 提供者:杜鹰
  1. keyboardxiaodou

    0下载:
  2. 按键消抖,参考。要根据脉宽的要求设置不同的记数宽度。-keys buffeting consumer reference. According to the pulse width with different requirements for the entry width.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1053
    • 提供者:ymarki
  1. dig_clk

    0下载:
  2. 实现vhdl数字钟 实现时分秒调时 消抖等功能 采用quartus编程实现 -digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:920257
    • 提供者:钱春雷
« 1 2 ... 7 8 9 10 11 1213 14 15 16 »
搜珍网 www.dssz.com