CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. yiwei

    0下载:
  2. 4位移位寄存器VHDL语言实现,描述74194的功能-4-bit shift register VHDL language to describe the functions of 74,194
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:2282
    • 提供者:yuer
  1. lshifter

    0下载:
  2. 有时为了处理数据,需要将寄存器中的各位数据在移位控制信号作用下,依次向高位或向低位移动1位成为移位寄存器-Sometimes in order to process the data, you need to register in the role of data in the shift control signals, the order of low to high or to move one into the shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1423
    • 提供者:刘浏
  1. mover

    0下载:
  2. 4位移位寄存器的VHDL代码包含代码及图形仿真-4-bit shift register of the VHDL code
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:480501
    • 提供者:马天羿
  1. hc595

    1下载:
  2. 74hc595移位寄存器的驱动程序,用于LED阵列显示。-74hc595 shift register driver for the LED array display.
  3. 所属分类:SCM

    • 发布日期:2016-08-23
    • 文件大小:3442
    • 提供者:吴智
  1. shift_reg

    0下载:
  2. 移位寄存器,Verilog实现,有实验说明文档。-Shift register, Verilog implementation, there is experimental documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1290129
    • 提供者:姚成富
  1. SHIFTER

    0下载:
  2. SHIFTER描述移位寄存器的功能以及VHDL硬件语言的实现-SHIFTER describe the functions of the shift register and the realization of VHDL hardware language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:3012
    • 提供者:SHEIN
  1. pn

    0下载:
  2. 通过移位寄存器的方法产生m序列。已编译好!-Produced by the method m shift register sequence. Has been compiled!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3127
    • 提供者:坚持
  1. verilogcode

    0下载:
  2. Verilog语言实现的多路选择器和移位寄存器的源代码.-Verilog language implementation of MUX and the shift register the source code.
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:8592
    • 提供者:林娴
  1. msequence

    0下载:
  2. 针对七位移位寄存器进行设计,并对语句进行了详细的解释,希望对大家有帮助。-Shift register is designed for seven, and the statement is a detailed explanation, we want to help.
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:4018
    • 提供者:linyi
  1. EDA

    0下载:
  2. 用EDA实现串行输入并行输出的移位寄存器,附带仿真-Serial Input with EDA parallel output shift register, with simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4505
    • 提供者:文心
  1. 32bitshiftregister

    0下载:
  2. 32位带锁存移位寄存器,采用verilog HDL语言编写,可用于串并转换-32-bit shift register with latches, using verilog HDL language can be used for string and convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:739
    • 提供者:张建
  1. shift8

    0下载:
  2. 用VHDL语言在QUARTUS环境下开发,功能是并串转换移位寄存器-Using VHDL language QUARTUS development environment, and the string conversion function is the shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:239867
    • 提供者:李欣
  1. 7shifter

    0下载:
  2. 基于verilog语言的七位移位寄存器编程代码,现代移动数字通信系统中编码器使用了-shifter
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:76735
    • 提供者:徐军
  1. lfsr

    0下载:
  2. 用VerilogHDL编写的lfsr移位寄存器,可以综合。-Lfsr prepared with VerilogHDL shift register, can be summarized.
  3. 所属分类:source in ebook

    • 发布日期:2017-03-30
    • 文件大小:135173
    • 提供者:signalscut
  1. 04

    0下载:
  2. 串行通讯做移位寄存器用用595芯片来控制数码管-Do shift register with serial communications chip 595 to control the use of digital control
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-28
    • 文件大小:42305
    • 提供者:zhangqiong
  1. LFSR

    0下载:
  2. 通过实现简单的线性反馈移位寄存器(LFSR),理解LFSR的工作原理、本原多项式重要意义-By implementing a simple linear feedback shift register (LFSR), to understand the working principle of LFSR, primitive polynomial significance
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-23
    • 文件大小:30250
    • 提供者:李惺忪
  1. m

    0下载:
  2. m序列举例。4级移位寄存器生成周期为15的m序列。-m sequence example. 4 shift register generating the m sequence of 15 cycles.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:17359
    • 提供者:giggs
  1. 6

    0下载:
  2. 1、for、while循环; 2、添加移位寄存器,根据n!逻辑结构搭建循环结构;-1, for, while loop 2, add the shift register, according to n! Logical structure built loop structure
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:6605
    • 提供者:dupc
  1. verilog

    0下载:
  2. verilog编程中的基本程序,包括比较器,编码器,解码器,移位寄存器等-verilog programming in the basic procedures, including comparators, encoders, decoders, shift registers, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:469019
    • 提供者:lixu
  1. FLch3RLSEG3

    0下载:
  2. 基于四个移位寄存器的最小二乘递推算法辨识程序-Shift register based on the four identification procedures recursive least squares algorithm
  3. 所属分类:Graph program

    • 发布日期:2017-04-02
    • 文件大小:42730
    • 提供者:史晓茹
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 29 »
搜珍网 www.dssz.com