CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. encryption

    0下载:
  2. 线性移位寄存器的C语言实现 线性移位寄存器的C语言实现线性移位寄存器的C语言实现-encryption
  3. 所属分类:CA program

    • 发布日期:2017-04-05
    • 文件大小:12105
    • 提供者:pxs
  1. VHDLstudy

    0下载:
  2. 近期学习程序小结,对初学者比较有帮助,包括:四D触发器:74175 用状态机实现的计数器 简单的12位寄存器 通用寄存器 移位寄存器:74164 带load、clr等功能的寄存器 带三态输出的8位D寄存器:74374等 -Summary of recent learning process, more helpful for beginners, including: four D flip-flop: 74 175 with a simple state machine im
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:44876
    • 提供者:孔凯敏
  1. random

    0下载:
  2. 产生符合一定分布的随机数的方法是,先产生(0,1)均匀分布的随机数,然后通过一个适当的变换方法得到所要求分布的随机数。常用的均匀分布伪随机序列发生器有线性同余发生器和线性反馈移位寄存器两种。-That meet certain distribution of the random number is first generated (0,1) uniformly distributed random number, then an appropriate transformation metho
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-27
    • 文件大小:709
    • 提供者:wswfx
  1. shift_regeister

    0下载:
  2. 用blockram实现移位寄存器,开发语言为verilog hdl-Shift register with blockram achieve the development language for the verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:148233
    • 提供者:郭淮
  1. myeda

    0下载:
  2. eda的程序集,有1位全加器,移位寄存器,计数器,等等的设计-failed to translate
  3. 所属分类:Other systems

    • 发布日期:2017-05-15
    • 文件大小:3977333
    • 提供者:caidai1989
  1. arithmeticunit2

    0下载:
  2. 实验二 移位运算实验 一、实验目的: 掌握移位控制的功能及工作原理 二、预习要求: 1.了解8位双向移位寄存器74299的功能、引出端功能符号和管脚分配 2.预习移位运算电路的工作原理。 -Experiment 1 Experiment 2 shift operation, experimental purposes: to master shift control function and working principle of two words, text:
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:35195
    • 提供者:董俐
  1. gmsk_2

    1下载:
  2. 实现2M数据速率的GMSK调制,时钟频率20M,2分频后作为移位寄存器-2M data rate to achieve the GMSK modulation, the clock frequency of 20M, 2 minutes after a shift register frequency
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:598240
    • 提供者:杨勇
  1. S3C2410

    0下载:
  2. S3C2410的串行外设接口SPI可以进行串行数据传输接口。S3C2410具有两个SPI,每个SPI具有两个8位移位寄存器用于独立地发送和接收数据。在SPI传输过程中,数据时同时发送和接收的(全双工),8位串行数据传输频率由相应的控制寄存器设置,如果希望仅发送数据,则接收数据can be dummy,同样如果只希望接收数据,则应该发送dummy 1 data。 有4个与SPI传输相关的引脚:SCK (SPICLK0,1)、MISO (SPIMISO0,1)数据线、MOSI (SPIMOSI0
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:753927
    • 提供者:程教育
  1. led-applicative

    0下载:
  2. 摘 要:本文介绍了应用移位寄存器芯片74HC595实现LED动、静态显示的基本原理。提出了一种用74HC595实现多位LED显示的新方法。同时对该系统的硬件组成和软件实现作了详细说明。实际应用表明,此方法连线简单方便,成本低廉,可用于24位LED或更多位LED显示。-This paper introduces application of the shift register chip 74HC595 are realizing that LED the static and dynamic b
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:770177
    • 提供者:wangliang
  1. exp5

    0下载:
  2. 采用Verilog HDL完成移位寄存器的硬件实现,非常实用。-Complete the shift register using Verilog HDL hardware implementation, is very practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:91198
    • 提供者:江浩
  1. ywjc

    0下载:
  2. 采用状态机的方法实现移位寄存器,用Verilog HDL编写,已经通过验证。-The method uses the state machine implementation shift register, with write Verilog HDL has been verified.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1150
    • 提供者:江浩
  1. m

    1下载:
  2. 由20位移位寄存器线性反馈产生的m序列的vhdl代码-20-bit shift register linear feedback sequence generated vhdl code m
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-08
    • 文件大小:2569
    • 提供者:李修函
  1. 74hc595

    0下载:
  2. 介绍CMOS 移位寄存器 74HC595的中文资料,-CMOS shift register 74HC595 introduced the Chinese information
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:619895
    • 提供者:曹志仁
  1. verilog

    0下载:
  2. 各种基础的Verilog hdl实验的实验报告,包括D触发器,移位寄存器,选择器,译码器等等,有很详细的操作步骤,对于初学者很有用。-All based on Verilog hdl experiments are reported, including the D flip-flops, shift registers, selectors, decoders, etc., there are detailed steps, useful for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3366385
    • 提供者:yangshisong
  1. AD7543

    0下载:
  2. AD7543的逻辑电路由12位串行输入并行输出移位寄存器(A)和12位DAC输入寄存器(B)以及12位DAC单元组成。在选通输入信号的前沿或后沿(由用户选择)定时地把RSI引脚上的串行数据装入寄存器A,一旦寄存器A装满,在加载脉冲的控制下,寄存器A的数据便装入寄存器B。并进行D/A转换。-将中文译成英语显示罗马拼音 AD7543 12-bit logic circuit from the serial input parallel output shift register (A) a
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:772908
    • 提供者:韦家正
  1. 123

    0下载:
  2. 使用51系列单片机通过移位寄存器来实现多彩多样的花样灯。-Use the 51 series to achieve the shift register through a variety of patterns and colorful lights.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:55301
    • 提供者:wang
  1. shift

    0下载:
  2. 控制移位寄存器的扩展 移位寄存器扩展用一个串入并出和并入串出寄存器链来扩展单片机的I/O功能,这个链共享时钟线和选通线,并且单片机有数据引脚来从链读取和输出数据-Control of extension shift register The extension shift register extends the I/O capability of the micro by using a chain of serial-parallel (for outputs) and para
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:2149
    • 提供者:yuming
  1. static

    0下载:
  2. LED08接口室内屏左移显示,运用移位寄存器性质,速度很快,不同于算出来后再进行缓存的形式,msp430控制-LED08 Interface indoor screen left shows the nature of the use of shift registers, fast, different from the cache after they arrived in the form of, msp430 control
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:24796
    • 提供者:赵浩淼
  1. GOLD_VHDL

    0下载:
  2. 论文讨论的是基于VHDL 实现在系统编程平衡GOLD 码逻辑电路设计,给 出周期与相位可编程平衡GOLD 码生成电路设计方案。该方案由最长线性移位寄存器 与可选反馈支路构成。-Discussion paper is based on VHDL programming to achieve a balance in the system logic circuit design GOLD code given cycle and phase balance GOLD programmabl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:108827
    • 提供者:wendy
  1. rsjicun

    0下载:
  2. 建立了基于matlab语言的移位寄存器的仿真模型,通过了系统验证。-Matlab language is established based on the simulation model of the shift register through the system validation.
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:2512
    • 提供者:ZHANGCHUN
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 29 »
搜珍网 www.dssz.com