CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - rtl

搜索资源列表

  1. spi

    0下载:
  2. SPI总线的RTL源代码,很好用,省掉你大量的工作量-the spi bus RTL Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:25134
    • 提供者:刘大鹏
  1. singt

    0下载:
  2. 用VHDL语言描述的用锁存器,加法计数器,ROM存储器构成的RTL图-VHDL language used to describe the use of latches, adding counters, ROM memory map consisting of RTL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:341040
    • 提供者:王洁
  1. RTL

    0下载:
  2. 对usb设备控制的ip核进行了重新设计并进一步优化-Usb device on the control of nuclear ip has been redesigned and further optimize
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:240436
    • 提供者:shaqiu
  1. fft_rtl

    1下载:
  2. rtl实现的fft变换,经硬件测试其功能与altera的fftip核相近-fft transform based on rtl design
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-28
    • 文件大小:617604
    • 提供者:王轶翔
  1. lab04

    0下载:
  2. RTL in Verilog (Vending Machine)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:67816
    • 提供者:S.K.Han
  1. driver-rtl

    0下载:
  2. 8180的 linux驱动 提供linux内核支持802.11g的模块-driver to provide core support for 802.11g modules, linux based
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-02
    • 文件大小:116726
    • 提供者:qw
  1. book

    0下载:
  2. Verilog HDL与VHDL都是数字系统设计的硬件描述语言,VerilogHDL适合算法级,rtl,逻辑级,门级,而VHDL适合特大型的系统级设计。针对这些特点这两本书深入浅出的介绍了这两种语言。-Verilog HDL and VHDL design of digital systems is the hardware descr iption language, VerilogHDL suitable algorithm level, rtl, logic level, gate-lev
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15563097
    • 提供者:龙英
  1. RTL

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:91570
    • 提供者:Dee
  1. watch_dog_rtl_source

    0下载:
  2. Watchdog timer verilog RTL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:10271
    • 提供者:Chris
  1. timer_rtl_source

    0下载:
  2. Timer verilog RTL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:10925
    • 提供者:Chris
  1. i2c_master_slave_latest[1].tar

    0下载:
  2. I2C Core VHDL RTL Source Code for Synthesis
  3. 所属分类:Compiler program

    • 发布日期:2017-04-04
    • 文件大小:3570
    • 提供者:tan
  1. rtl

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4264
    • 提供者:tianyu
  1. uart_0910

    0下载:
  2. uart串口传输的verilog RTL级源码,已通过仿真验证。文件主要包含发送、接受位处理,发送、接受字节帧处理,对学习串口通信的朋友很有帮助-uart serial transmission verilog RTL-level source code has been verified by simulation. File mainly contains the send, receive digital processing, sending, receiving bytes of fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7208
    • 提供者:李鹏
  1. async_fifo

    0下载:
  2. verilog HDL写的异步fifo代码及测试平台,直接可用,可生成RTL代码-asynchronous fifo write verilog HDL code and test platform, directly available, can generate RTL code for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:62158
    • 提供者:张晗
  1. rtl

    0下载:
  2. ddr controller in verilog-ddr controller in verilog...............
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:69232
    • 提供者:guanchuanjian
  1. RTL

    0下载:
  2. 用VHDL实现求两个数的最大公因数。数据路径和控制路径。-Seeking to use VHDL to achieve the greatest common factor of two numbers. Data path and control path.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:356508
    • 提供者:youdn
  1. 8bit_RISC_CPU_RTL_Code

    1下载:
  2. 8位RISC CPU 内核源码(VERILOG版)-8 bit RSIC CPU RTL code(Verilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:79417
    • 提供者:曾亮
  1. sdram

    0下载:
  2. 程序说明: 本次实验控制开发板上面的SDRAM完成读写功能。 先向SDRAM里面写数据,然后再将数据读出来做比较,如果不匹配就通过LED变亮显示出来,如果一致,LED就不亮。 part1是使用Modelsim仿真的工程 part2是在开发斑上面验证的工程 目录说明: part1: part1_32是4m32SDRAM的仿真工程 part1_16是4m16SDRAM的仿真工程 \model文件夹里面是仿真模型 \rtl文件夹里面是源文件 \sim文
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:779102
    • 提供者:军军
  1. EMCRTL

    0下载:
  2. RTL Code for Design of Extarnal Memory Controller for Accessing Asynchronous SRAM of size 512Kx16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5498
    • 提供者:Embedded_techie
  1. LIP1611CORE_AES128_SEC_UWB

    0下载:
  2. AES 128 Synthesisable RTL code
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-19
    • 文件大小:5584591
    • 提供者:jc
« 1 23 4 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com