CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - hdl

搜索资源列表

  1. Verilog HDL教程

    0下载:
  2. Verilog HDL教程,一本学习Verilog HDL的好书。
  3. 所属分类:书籍源码

    • 发布日期:2008-06-03
    • 文件大小:4168249
    • 提供者:xuzhenhuaxu
  1. 用Verilog HDL实现I2C总线功能

    2下载:
  2. 用Verilog HDL实现I2C总线功能,对I2C总线有很大帮助-with Verilog HDL I2C bus function of I2C bus is very helpful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:121607
    • 提供者:胡路听
  1. Verilog HDL的基础教程

    0下载:
  2. Verilog HDL的基础教程PDF资料
  3. 所属分类:编程文档

    • 发布日期:2008-10-20
    • 文件大小:290258
    • 提供者:yuhengzhou
  1. Verilog HDL典型入门例程

    2下载:
  2. 包括了跑马灯、交通灯、状态机等7个典型的Verilog HDL入门例程,希望对你有所帮助。
  3. 所属分类:嵌入式/单片机编程

  1. verilog HDL任务与函数代码

    1下载:
  2. 1、 了解verilog HDL任务与函数的定义及格式 2、 掌握函数与任务的定义与调用 3、 掌握函数与任务在使用时的区别 4、 掌握任务与函数的具体应用
  3. 所属分类:源码下载

  1. Verilog-HDL

    0下载:
  2. 《Verilog-HDL实践与应用系统设计》一书中的光盘源文件- Verilog-HDL practice and application of system design, a book on CD-ROM source file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:770844
    • 提供者:范田田
  1. 1024FFT-verilog-hdl

    2下载:
  2. 基于spartan 3e 的IFFT算法verilog HDL程序-Based on the verilog 3e Spartan IFFT algorithm of HDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-25
    • 文件大小:437248
    • 提供者:caizhixiang
  1. DES-HDL

    0下载:
  2. 用HDL实现的DES加密算法,通过前仿真,希望对大家有帮助-HDL implementation of the DES with the encryption algorithm, by pre-simulation, we want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:27714
    • 提供者:su
  1. Verilog-HDL

    0下载:
  2. Verilog-HDL实践与应用系统设计-Verilog-HDL Practice and Application System Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15430386
    • 提供者:李秀艳
  1. ARM-Verilog-HDL-IP-CORE

    0下载:
  2. ARM Verilog HDL IP CORE
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-26
    • 文件大小:67766
    • 提供者:hebin
  1. A-Verilog-HDL-Primer

    0下载:
  2. 老外写的经典verilog书籍二 the A Verilog HDL Primer -Classic books written by foreigners verilog two the A Verilog HDL Primer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3126388
    • 提供者:书荣
  1. A > B gate Verilog HDL

    0下载:
  2. Just a Code to help with Verilog HDL
  3. 所属分类:汇编语言

    • 发布日期:2014-05-06
    • 文件大小:479
    • 提供者:pgrondalski
  1. Advanced Digital Design with the Verilog HDL

    0下载:
  2. Advanced Digital Design with the Verilog HDL (M.D.Cilett)
  3. 所属分类:编程文档

    • 发布日期:2016-02-15
    • 文件大小:22797291
    • 提供者:rukzak
  1. Training PPT for HDL

    1下载:
  2. 44files of Training PowerPoint Presentation from membership HDL site. Buspro System Hotel System Wireless System Integration Notice
  3. 所属分类:咨询培训

    • 发布日期:2016-03-11
    • 文件大小:151438578
    • 提供者:Arssaui
  1. Verilog HDL(第4版)[王金明][电子教案]

    0下载:
  2. Verilog HDL(第4版)[王金明][电子教案].rar 注意是ppt教案。(Verilog HDL (Fourth Edition) [] [Wang Jinming].rar e-lesson plans note ppt plans.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:5427200
    • 提供者:lart
  1. VERILOG+HDL快速入门

    0下载:
  2. VERILOG HDL 快速入门(VERILOG Quick Reference Manual)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:634880
    • 提供者:pippin
  1. 从零开始学CPLD和VERILOG HDL

    0下载:
  2. 从零开始学CPLD和VERILOG HDL(Learn CPLD and VERILOG HDL from zero)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-29
    • 文件大小:32979968
    • 提供者:Jerry20170718
  1. 《Verilog HDL设计与实战》配套代码(1)

    2下载:
  2. 《Verilog HDL设计与实战》配套代码 verilog源程序(Verilog HDL design and actual combat code Verilog source program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:6552576
    • 提供者:铭铭扬扬
  1. 《Verilog HDL设计与实战》配套代码(2)

    2下载:
  2. 《Verilog HDL设计与实战》配套代码 (2)("Verilog HDL design and actual combat" matching code (2))
  3. 所属分类:书籍源码

    • 发布日期:2018-04-30
    • 文件大小:103367680
    • 提供者:铭铭扬扬
  1. aes128-hdl-master

    0下载:
  2. Verilog AES hdl key 128 bit code and decode
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:856064
    • 提供者:Nguyen Nam
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com