CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串并转换

搜索资源列表

  1. 串并转换实验程序

    0下载:
  2. 利用8031串行口,和并行输出串行移位寄存器74LS164,扩展一位数码显示在数码显示器上循环显示0-9这10个数字-use 8031 serial port, parallel and serial shift register output 74LS164 expand a digital display on the digital display shows 0-9 this cycle 10 figures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3065
    • 提供者:韩雪琴
  1. 单片机串口编程

    0下载:
  2. 单片机串口编程---包含串并转换电路驱程序,驱动光二极管闪动程序, 串口发送和接收程序!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-12-13
    • 文件大小:9824
    • 提供者:dqjyong
  1. signal_output

    0下载:
  2. 本文件是可以直接使用下载到FPGA里面使用,里面包含时钟分频电路,串并转换和并串转换电路,多通道信号加权的乘加电路等。-The document may download to FPGA chip to complete the clock divider,serial-to-parallel,parallel-to-serial,and multiple-add circuit for multiple channels weight calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1159767
    • 提供者:蔡野锋
  1. serial

    0下载:
  2. 一个基于单片机串口通信的程序,包含串并转换电路驱程序,驱动光二极管闪动程序, 串口发送和接收程序!-A microcontroller-based serial communication programs, including string and convert the circuit-drive programs, drivers, LED flashing program, serial port to send and receive programs!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:9824
    • 提供者:谢翼
  1. 434343

    0下载:
  2. 这是一个用VHDL语言设计的8位串并转换器,立面有点错误自己仿真修改下-This is a design using VHDL language and the 8-bit string converter, elevation changes a little bit wrong, under their own simulation
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:740
    • 提供者:丰富
  1. ser_par

    0下载:
  2. 24bitAD数据采样进行串并转换,并行输出。另包括24位DA并串转换,串行输出。-24bitAD data sampling and converted to strings, parallel output. Other notable features include 24-bit DA and string conversion, serial output.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:2491
    • 提供者:caofangfang
  1. ctos

    0下载:
  2. 利用vhdl完成基于spartan3E开发板的串并转换-Use vhdl complete spartan3E development board based on the string and convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:9959
    • 提供者:长江
  1. chu_bing

    0下载:
  2. 串并转换的一点总结希望对大家有一定的帮助谁有好后点的意见与我联系一下-String and convert the point summed up hope everyone who has a good help to a certain extent after the point of view contact me about
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:297690
    • 提供者:gaohao
  1. 68140323

    0下载:
  2. vhdl实现了串并转换,和并串转换,可供大家参考学习!-vhdl realized and string conversion, and and the string conversion, for your reference to learn!
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1549
    • 提供者:欧影
  1. chuanbin

    0下载:
  2. 对信号进行串并转换,使其分成I,Q2路输出信号 -String and convert the signal to make it into I, Q2 output signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3864
    • 提供者:龙兰飞
  1. C550

    1下载:
  2. 包括16C550芯片串并转换功能的初始化、并转串、串转并等等源代码-Including the Initialization,parallel data to serial mode and serial data to parale mode function of 16C550 chip.
  3. 所属分类:SCM

    • 发布日期:2014-11-25
    • 文件大小:4665
    • 提供者:wbw
  1. 32bitshiftregister

    0下载:
  2. 32位带锁存移位寄存器,采用verilog HDL语言编写,可用于串并转换-32-bit shift register with latches, using verilog HDL language can be used for string and convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:739
    • 提供者:张建
  1. cbzh

    0下载:
  2. 串并转换的verilog文件带仿真结果图片的-String and convert the verilog file with simulation results pictures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1249587
    • 提供者:王双
  1. SERDES

    2下载:
  2. 基于Verilog的串并转换器的设计与实现,采用两种不同的方案来实现串并和并串转换的功能,并用ISE软件仿真以及chipscope的调试-Verilog-based serial and parallel converter design and implementation of two different programs to achieve the string and and and string conversion functions, and use the ISE softwa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:785408
    • 提供者:陈凯
  1. sipo8

    0下载:
  2. 串入并出源代码,可进行8位数据的串/并转换。其中包括QUARTUS2的完整工程,有正确的仿真波形供参考。-In series and the source code, can be 8-bit data series/parallel conversion. Including QUARTUS2 complete project,and the correct simulation waveform for reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:217522
    • 提供者:simulin_2008
  1. sequence_FPGA

    0下载:
  2. 这个是一个集m序列发生器、序列检测器、并串转换、串并转换等功能,已通过测试。-sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:515829
    • 提供者:姜国千
  1. cb

    0下载:
  2. 实现串并转换,非常好用的,已经经过仿真验证的,可以通过。-String and convert to achieve, very easy to use, has been verified by simulation, you can.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:64645
    • 提供者:yangxiao
  1. 11071222426689

    0下载:
  2. 用vhdl实现1:8串并转换,希望对大家有用。-the vhdl chuan bing zhuan huan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:27047
    • 提供者:chenshi
  1. 串并转换

    0下载:
  2. vhdl实现串并转换,其中附有源程序和testbench程序,可以用modelsim仿真
  3. 所属分类:其它

  1. bingchuanzhuanhuan

    1下载:
  2. 基于Xilinx FPGA ,串并转换模块
  3. 所属分类:VHDL编程

« 1 23 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com