CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串并转换

搜索资源列表

  1. s_pandp_s

    0下载:
  2. 用VHDL编写的并串转换和串并转换实例,希望对您有所帮助,其中输入数据是时钟的16倍-prepared using VHDL and string conversion and string conversion and examples, and I hope to help you, the input data which is 16 times the clock
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1824
    • 提供者:zhou
  1. chuanxingzhuanhaunbingxing

    0下载:
  2. 通过多通道串-并转换器将多个同步串行数据流转换为并行数据-through multi-channel serial-to-parallel converter multiple synchronous serial data streams converted to parallel data
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:52494
    • 提供者:胡飞逸
  1. u-uart

    0下载:
  2. 一个可综合的串并转换接口verilog源代码-a comprehensive series of conversion and interface Verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5599
    • 提供者:李文文
  1. S2P_xapp194

    0下载:
  2. VHDL,verilog串并转换源程序 Xilinx公司参考资料-VHDL, verilog Series and conversion company Xilinx reference source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:27291
    • 提供者:苏翔
  1. SPtransform

    0下载:
  2. Verilog HDL编写的串并转换。采用iout类型口。包含源文件和测试文件。用Modsim编译。-Verilog HDL Series and the preparation of the conversion. I used iout types. Includes source and test papers. Modsim compiler used.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1026
    • 提供者:曹光明
  1. IIS2BT656

    0下载:
  2. 本程序功能为将音频的IIS数据插入bt656数据中一起传输。在程序中,sdata并不从外界输入,而是由内部的一个16位的counter并串转换产生,以此来检测程序在串并转换sdata时是否有遗漏。 本程序并未经过实测,但ModelSim的仿真结果正确。-this program will function as audio data into IIS bt656 together data transmission. In the process, not from outside sdat
  3. 所属分类:Audio

    • 发布日期:2008-10-13
    • 文件大小:306232
    • 提供者:龙子
  1. LPC2100_LCD_PORT

    1下载:
  2. 本文分别以GPI0口直接连接、串并转换连接、CPLD分部连接三种方法阐述了无外部总线的Philips ARM微控制器LPC2l0X与点阵图形液晶显示器的接口设计,并给出了硬件电路框图和主要程序。-paper were directly connected GPI0 mouth, and string conversion connectivity, CPLD Division linking the three methods described without external bus Phi
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:138232
    • 提供者:陶建卫
  1. ofdmproj_matlab

    0下载:
  2. 这是一个matlab程序,其中包括初始化程序,QAM程序,OFDM主程序,以及发送接受,串并转换等各部分的说明,各个部分一目了然。-This is a Matlab procedures, including the initialization procedures, QAM procedures, OFDM main program, and this acceptance, and series such as the conversion of the note, the various
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:86910
    • 提供者:左虎
  1. chuanbingzhuanhuan

    0下载:
  2. VHDL代码,仿真通过,变异可以,下载变成文件,但需要修改,串并转换-VHDL code, through simulation, the variation can be downloaded into a document, but need to change, and change series
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3347
    • 提供者:赵宾
  1. chuanbing

    0下载:
  2. 串并转换源代码.串 并转换源代码.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:48608
    • 提供者:潘珠珠
  1. tiaozhijietiao

    0下载:
  2. QPSK详细的调制解调程序。包括串并转换,判决等等。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1349
    • 提供者:liuning
  1. 16QAM

    2下载:
  2. 运用matlab,实现16QAM调制与解调,串并转换,信道建立(瑞利多径信道),星座映射,是毕业设计之必备资料
  3. 所属分类:邮电通讯系统

    • 发布日期:2008-10-13
    • 文件大小:1535146
    • 提供者:guang_j
  1. qpsk

    3下载:
  2. 运用matlab,采用QPSK调制与解调,包括串并转换,傅立叶变换与逆变换,信道估计(采用LS准则),多普勒频移等模块,内容清晰明了。
  3. 所属分类:邮电通讯系统

    • 发布日期:2008-10-13
    • 文件大小:2889
    • 提供者:guang_j
  1. yuanchenxu

    0下载:
  2. shangjiao6.m为主程序;pcode.m为产生伪码的子程序;bu.m为进行补0的子程序(为了使得进行FFT和IFFT的点数满足2的N次方个) c_b.m为数据进行串并转换的子程序。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4149
    • 提供者:李明
  1. 4094

    0下载:
  2. 14094串并转换静态显示LED 14094串并转换静态显示LED
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-16
    • 文件大小:864
    • 提供者:q
  1. ytctl

    0下载:
  2. 使用AVR的云台控制器程序,通过串口和PC机通讯实现在监控电脑上控制摄像机云台.通过SPI口进行串并转换实现单片机IO扩展.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:26927
    • 提供者:马永聪
  1. Mov9

    0下载:
  2. 本工程实现的是9位义位与串并变换模块 具体工作过程是: 在时钟CLK的上升沿触发下,从inp端输入接收m序列,按顺序inp->A9->A8->...->A0进行意味,同时把A9,A8,...A0的输出分别给B9,B8,B7,...从而完成串并转换的功能。Q端的信号取自A0的输出短,作为一位4位后的串行m序列信号。 clk为输入时钟信号;inp为接收序列信号输入;Q为串行序列输出;B0~B3为四位并行序列输出。
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:248220
    • 提供者:youyou
  1. bunchcombinechange

    0下载:
  2. Verilog源代码,实现串并转换,学Verilog的不错的基本例程
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-20
    • 文件大小:114290
    • 提供者:3060421006
  1. AT89C51_proteus_fangzhenban

    0下载:
  2. PROTEUS仿真用单片机系统板 系统资源丰富: ★ 内置RAM 32KB模块 ★ 内置8位动态数码显示模块 ★ 内置8X8点阵显示模块 ★ 4位静态数码显示模块 ★ 4位级联的74LS164串并转换模块 ★ 内置8通道8位A/D转换 ★ 内置8位D/A转换 ★ 内置2路SPI和I2C总线接口 ★ 内置4路1-Wire总线接口 ★ 内置4X4矩阵式键盘 ★ 内置4路独立式键盘 ★ 内置4路拨动开关 ★ 内置8位LED发光二极管 ★ 内置
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:73726
    • 提供者:zjz
  1. bingchuan

    0下载:
  2. 简单的vhdl的四位并串转换程序,可以实现数据的并串转换-Simple vhdl string of four and the conversion process, can convert the data and the string
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3085
    • 提供者:alice
« 1 2 34 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com