CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 序列检测器

搜索资源列表

  1. lxh_xulijianceqi

    0下载:
  2. 这是1个序列检测器,可以重复检测序列,在通信方面用的较多-This is a sequence detector, can detect repeat sequence, in communications with the more
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:814
    • 提供者:李湘宏
  1. s_machine

    0下载:
  2. right.vhd 序列发生器 s_machine.vhd 序列检测器 波形图.doc 程序运行波形-right.vhd s_machine.vhd sequence generator waveform sequence detector map. doc procedures Waveform
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60853
    • 提供者:杨奎元
  1. xuliejiance

    0下载:
  2. 《序列检测器》绝对好用的EDA实验程序,已经通过测试!VHDL语言编写-"Sequence Detector" absolutely good for EDA experimental procedure, he has passed the test! VHDL language
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1205
    • 提供者:潘晓峰
  1. 序列检测器

    0下载:
  2. 不仅有源码,还有仿真波形图,看看会有帮助的
  3. 所属分类:源码下载

    • 发布日期:2011-12-24
    • 文件大小:784896
    • 提供者:hzhanghengd
  1. Sequence-detector-design

    1下载:
  2. 序列检测器设计的思路大多都是用FSM来实现的,此思路是通过移位寄存器来实现序列检测-Sequence detector design ideas are often used to achieve the FSM, the idea is to achieve through the shift register sequence detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:31189
    • 提供者:lsp
  1. xuliejiancesheji

    0下载:
  2. 用状态机实现一序列检测器,即检测到串行码{1110010}后,检测器输出1,否则输出0; -State machine used to achieve one sequence detector, which detects the serial code (1110010), the detector output 1, otherwise output 0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:47629
    • 提供者:lei
  1. 111

    1下载:
  2. 使用JK触发器设计111序列检测器,当检测到输入为111时输出为1,否则为0-JK flip-flop design using the sequence detector 111, when input 111 is detected when the output 1, otherwise 0
  3. 所属分类:Document

    • 发布日期:2017-04-24
    • 文件大小:82667
    • 提供者:xd
  1. Sequencedetector

    0下载:
  2. 用VHDL语言实现的序列检测器 (以1010111为例)-Sequence detector (for example 1010111)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:772
    • 提供者:赵珑
  1. Sequencedetector

    0下载:
  2. 序列检测器可用来检测一组或多组由二进制码组成的脉冲序列信号,这在数字通信领域有广泛的应用。当检测器连续收到一组串行二进制码后,若这组码与检测器中预制的码相同,输出为A,否则输出为B。序列检测I/O口的设计如下:设Din是串行数据输入端,clk是工作时钟,clr是复位信号,D是8位待检测预置数,QQ是检测结果输出端。-Sequence detector can be used to detect one or more sets consisting of binary code from the
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:3667
    • 提供者:yufang
  1. EDA3add

    0下载:
  2. 序列信号发生器与检测器设计:用状态机设计实现串行序列检测器的设计,先设计(可用原理图输入法)序列信号发生器产生序列:0111010011011010;再设计检测器,若检测到串行序列11010则输出为“1”,否则输出为“0”,并对其进行仿真和硬件测试。-Sequence signal generator and detector design: The Design and Implementation of a serial sequence of state machine design of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:179834
    • 提供者:周旋
  1. VHDL

    0下载:
  2. 序列检测器设计VHDL源程序 任意输入串行数据串-VHDL source code sequence detector design arbitrary string of serial data input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:732
    • 提供者:terry
  1. serial_check

    0下载:
  2. 本实验需要实现一个序列检测器,用来检测输入的串行位流是否和程序设定的位串相一致,若一致则在验证波形的出现一个高电位来表示。本实验需要验证的位串是“101011”。-In this study, need to implement a sequence detector, to detect whether the input serial bit stream and procedures consistent set of bit strings, if the same occurs in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:50346
    • 提供者:张洁
  1. 8_1

    0下载:
  2. 一个具有置位、复位、左移和右移功能的八位移位寄存器/“01011010”序列检测器。移位寄存器电路端口为:异步清零输入端口rst,输入时钟clk,置数判断输入端口load,移位类型判断输入端口m,数据输入端口data[7:0],输出端口q[7:0]。序列检测器电路端口为:异步清零输入端口rst,输入时钟clk,串行数据输入端口d,输出标志端口s。(A eight bit shift register / 01011010 sequence detector with set, reset, le
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:94208
    • 提供者:白学
  1. 序列检测器

    0下载:
  2. 本例子为一个序列检测器的程序,序列为:11001001000010010100,检测的序列为10010(This example is a sequence detector procedure, the sequence is: 11001001000010010100, the detection sequence is 10010)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:18432
    • 提供者:不唯花开
  1. 11位巴克码序列峰值检测器

    0下载:
  2. (1)能够检测巴克码序列峰值; (2)在存在1bits错误情况下,能够检测巴克码序列峰值 (3)具体说明参见说明文档((1) the spike sequence of Barker code can be detected; (2) the spike sequence of Barker code can be detected under the condition of 1bits error)
  3. 所属分类:VHDL/FPGA/Verilog

  1. sequence_detector(6-state)

    0下载:
  2. 将《Verilog数字系统设计教程》(夏宇闻)一书中第15章的源代码进行了改进,由原来的8状态精简到6状态,同样可以实现要求的功能,对于重叠出现的特定序列也可以检测到。(The source code of Chapter 15 of the Verilog Digital System Design Tutorial (Xia Yuwen) has been improved from the original 8 state to the 6 state, and the required
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:1024
    • 提供者:digital_wang
  1. 序列检测器设计

    0下载:
  2. 序列检测程序,检测数列中的程序。希望对大家有帮助,版权所有,只可以作为参考(i do not speak english this is a number process .sjkjskjd znbjsahh isa siu oa u uasidui yauyd adyius i sauyi i aidus)
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:80896
    • 提供者:雷锋666
  1. 110序列检测器

    1下载:
  2. 110的序列检测器,添加了使能端检查其正确性(The sequence detector of 110 adds the enable end to check its correctness.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:191488
    • 提供者:New2018
  1. 6bit序列检测器

    1下载:
  2. 1、用数码管显示被检测的连续数字序列,MSB在前; 2、当输入的数字序列连续六个值等于一组串行码(如00011101)时输出高电平并报警显示,同时用两位数码管显示出现的次数。 3、串行码的值可设定(6bit sequence detector)
  3. 所属分类:其他

    • 发布日期:2018-05-07
    • 文件大小:27203584
    • 提供者:风雨华
  1. 序列检测器

    1下载:
  2. 一个哈弗曼编码序列检测器,并完成其综合。 (1) 被检测序列为EE 0F B7 93 49 DF E3 B4 DD F4 4C EE 0F B7 91(16进制),序列可以预先固化在ROM中。 例:两个字节0x01和0x11会被编码成序列0b001100 哈弗曼编码的作用是对数据进行压缩处理,哈弗曼编码有一个特点是:如果它和它前面的码字位数相同,则当前码字为它前面的码字加1;如它的位数比它前面的码字位数大,则当前码字为前一个码字加1再补若干0,直至满足位数长度。被检测序列所涉及的哈弗曼编码
  3. 所属分类:VHDL编程

« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com