CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .98 .99 .00 .01 .02 4103.04 .05 .06 .07 .08 ... 4322 »
  1. 2FSK2psk

    0下载:
  2. 2FSK2PSK-二进制频移键控和相移键控信号发生器的源程序,是基于QUARTUS II软件平台,使用VHDL语言-2FSK2PSK-binary frequency shift keying and phase shift keying signal generator source, QUARTUS II is based on the software platform, the use of VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:876
    • 提供者:张全文
  1. pcm13

    0下载:
  2. PCM采编器器系统是一种常用的遥测设备,它可以采集多路数据并进行通信传输和数据处理,PCM 采编器控制采集各个数据通道数据的时序,并加上帧同步码形成一定格式的数据,再进行并/串转换,形成串行数据流送到调制设备供传送。-PCM Editor System is a common telemetry equipment, It can be multi-channel data acquisition and communication transmission and data processin
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:876
    • 提供者:张全文
  1. 01

    0下载:
  2. 有关VHDL语言,对于EDA实验中交通灯的设计 是硬件和软件的结合-The VHDL language, for the EDA experiments traffic lights is designed to be a combination of hardware and software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:876
    • 提供者:menghang
  1. arraymultiplier

    0下载:
  2. vhdl code,about arraymultiplier,fixed point
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:876
    • 提供者:esther
  1. frediv

    0下载:
  2. 1:1占空比的分频器的VHDL实现,包括奇数和偶数分频。-1:1 duty cycle of the divider of the VHDL implementation, including the odd and even frequency.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:876
    • 提供者:林后斌
  1. pingpang

    0下载:
  2. LED灯模拟乒乓球用移动,甲乙两玩家,击到球便在数码管上显示积分。-LED lights simulate table tennis with a mobile, B two players, hit the ball will be in the digital display points.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:876
    • 提供者:康文
  1. ps2_vhdl

    0下载:
  2. 利用vhdl实现FPGA芯片从PS2键盘读出数据(0-F) 并在数码管上显示 -use FPGA chip from the PS2 keyboard sensed data (0-F) and displayed on a digital control
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:875
    • 提供者:刘音
  1. led

    0下载:
  2. 在EPM570开发板上实现LED控制的程序-EPM570 achieve in the development of on-board LED control procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:875
    • 提供者:秦建
  1. tlv5636

    0下载:
  2. 音频DA tlv5636的接口程序 经过硬件测试的成功 学习状态机对器件编程的经典-DA tlv5636 audio through the interface program to test the success of hardware 。state machine to study the classic programming device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:875
    • 提供者:cjy
  1. PWM

    0下载:
  2. This a PWM (pulse-width modulation scheme code in VHDL)-This is a PWM (pulse-width modulation scheme code in VHDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:875
    • 提供者:usama
  1. clkgen

    0下载:
  2. 移位寄存器实现分频,避免大量使用分频代码-Frequency shift registers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:875
    • 提供者:dong_tsinghua
  1. edge_catch

    0下载:
  2. 信号去抖动处理程序,通常在时钟沿到来时,信号出现不稳定,这个程序可以处理-signal process jitter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:875
    • 提供者:ouyangxishu
« 1 2 ... .98 .99 .00 .01 .02 4103.04 .05 .06 .07 .08 ... 4322 »
搜珍网 www.dssz.com