CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. shifter

    0下载:
  2. 用vhdl实现双向移位寄存器 仿真环境MAXPLUS-II,QUARTUS--bidirectional use VHDL simulation environment shift register Segments-II, QUARTUS-
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:150033
    • 提供者:dm
  1. 移位寄存器

    0下载:
  2. First in first out寄存器的verilog源代码
  3. 所属分类:VHDL编程

    • 发布日期:2009-02-22
    • 文件大小:1169
    • 提供者:sh0205
  1. 100vhdl_example

    0下载:
  2. vhdl语言的100个例子 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 -VHDL language, VHDL language 100 examples of 100 cases of the first one cases of the control p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:223595
    • 提供者:光明顶
  1. shift

    0下载:
  2. 该移位寄存器的功能是寄存输入数据,并在控制信号作用下将输入数据移位输出。-The function of the shift register are input data storage, and under the control signal at the input of data output shift.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:39510
    • 提供者:伍家香
  1. T3_1

    0下载:
  2. 一个4比特移位寄存器,活跃在不断上升的边缘的时钟。登记应能转移左、右移,接受连续剧和平行(负荷)输入,而有一个异步预设(“1111”)和清晰的(“0000”)的能力。-a 4-bit shift register which is active on the rising edge of the clock. The register should be able to shift left, shift right, accept a serial and parallel (load) i
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:26892
    • 提供者:sunzhongyuan
  1. VHDL100

    0下载:
  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:307161
    • 提供者:lsp
  1. 74hc164

    0下载:
  2. 74HCT164 是 8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。数据通过两个输入端(DSA 或 DSB)之一串行输入;任一输入端可以用作高电平使能端,控制另一输入端的数据输入。两个输入端或者连接在一起,或者把不用的输入端接高电平,一定不要悬空。-74HCT164 is an 8-bit edge triggered shift register, serial input data, and then output in parallel. Data input through t
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:21060
    • 提供者:裘怿况
  1. VHDL_100_1

    1下载:
  2. 第43例 四位移位寄存器 第44例 寄存/计数器 第45例 顺序过程调用 第46例 VHDL中generic缺省值的使用 第47例 无输入元件的模拟 第48例 测试激励向量的编写 第49例 delta延迟例释 第50例 惯性延迟分析 第51例 传输延迟驱动优先 第52例 多倍(次)分频器 第53例 三位计数器与测试平台 第54例 分秒计数显示器的行为描述6 第55例 地址计数器 第56例 指令预读计数器 第57例 加.c减.c乘指令的
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:341282
    • 提供者:zyw
  1. shifter

    0下载:
  2. vhdl,双向移位寄存器,实现置数,左移及右移操作-vhdl, bi-directional shift register to achieve set the number of left and right shift operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:33041
    • 提供者:王晓虎
  1. COUNTER32B

    0下载:
  2. 32位移位寄存器,实现具体右移功能的32为寄存器,结构简单,通过时序验证-32-bit shift register 32 functions to achieve specific right to register, simple structure, through the timing verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:250149
    • 提供者:寒星
  1. shifter

    0下载:
  2. 有算术移位和逻辑移位,循环移位功能的移位寄存器,Verilog语言编写,Quratus II编译通过。-With arithmetic shift and logical shift, rotate functions shift register, Verilog language, Quratus II compile.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:299132
    • 提供者:姜涛
  1. 移位寄存器

    1下载:
  2. 基于labview的移位寄存器的框图和实现(Implementation of shift register)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-13
    • 文件大小:4953
    • 提供者:Redtrees
  1. Random_creat_2017

    0下载:
  2. 产生8bit随机数,采用线性反馈移位寄存器(The 8bit random number is generated by using linear feedback shift register)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:101376
    • 提供者:haha40508
  1. shiftreg44

    0下载:
  2. 一个用来构成缓存原件的基础 计数器和移位寄存器(Base counter and shift register for a cache primitive)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:5120
    • 提供者:aasdd
  1. PPM解码器

    0下载:
  2. 本代码主要功能是PPM解码,采用Verilog语言,通过移位寄存器和组合电路实现解码。(The main function of this code is PPM decoding.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:172032
    • 提供者:yuguofang
  1. LSFR

    0下载:
  2. 用Dev C++实现一个简易的线性移位寄存器,LSFR流密码,对信息进行加密。(Dev C++ is used to implement a simple linear shift register, LSFR stream cipher, to encrypt information.)
  3. 所属分类:加密解密

    • 发布日期:2018-01-09
    • 文件大小:471040
    • 提供者:jttqhh1
  1. shiftreg

    0下载:
  2. 介绍移位寄存器的VHDL语言建模,适合初学者(Introduce the modeling of shift register)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:7907328
    • 提供者:mabang123
  1. Desktop

    0下载:
  2. 简单实现线性反馈移位寄存器并进行验证,为初学者提供一个参考(Simple implementation of linear feedback shift register and verification.)
  3. 所属分类:C#编程

    • 发布日期:2018-05-02
    • 文件大小:110592
    • 提供者:qinuo
  1. 移位寄存器

    1下载:
  2. 可以实现移位寄存功能,输入四位数字,然后依次移位显示在数码管上。
  3. 所属分类:VHDL编程

  1. Verilog源代码

    0下载:
  2. 多种基本功能的Verilog代码实现,包括多路选择器,二进制到BCD码转换,二进制到格雷码转换,7段译码器,8位数据锁存器,移位寄存器等等多种功能。(Verilog code implementation of a variety of basic functions, including multiplexer, binary to BCD code conversion, binary to Gray code conversion, 7-segment decoder, 8-bit dat
  3. 所属分类:汇编语言

    • 发布日期:2020-07-31
    • 文件大小:18432
    • 提供者:MMK1
« 1 23 4 5 6 7 8 9 10 ... 29 »
搜珍网 www.dssz.com