CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - hdl

搜索资源列表

  1. PWM_deadtime

    0下载:
  2. 利用HDL语言编写的PWM死区时间的实现,已经通过本人仿真验证,对于电力电子行业的研发人员有帮助-Using HDL languages ​ ​ implementation of PWM dead time has passed my simulation, for the power electronics industry, R & D staff to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:264706
    • 提供者:喻杰
  1. ps2_mouse_interface

    0下载:
  2. ps2接口的鼠标与vga接口的驱动程序,Verilog HDL语言,运用于FPGA-ps2_mouse_interface and vga in Verilog HDL language, applied to FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5191
    • 提供者:吴笑
  1. spi93c46

    0下载:
  2. CPLD控制93C46的HDL示例代码,只是简易测试而已哦-CPLD control the 93C46 of the HDL sample code, just simple test just oh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:423021
    • 提供者:赵先生
  1. Verilog

    0下载:
  2. 是摩托罗拉关于Verilog HDL的开发规范,相信对于学习Verilog程序设计的人会有很大的帮助-Motorola on the development of Verilog HDL specification, I believe that learning Verilog for programming will be of great help to people
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:221284
    • 提供者:
  1. HDB3_decode

    0下载:
  2. 用Verilog HDL语言进行HDB3译码,并通过Quartus Ⅱ仿真验证-With the Verilog HDL language HDB3 decoding, and simulation by Quartus Ⅱ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1374603
    • 提供者:jabeile
  1. SPI_Slave

    0下载:
  2. SPI Slave example (VERILOG HDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1125
    • 提供者:igor
  1. 60seconds

    0下载:
  2. 60秒秒表设计,可暂停和分段计数等,所有功能是利用verilog HDL来描述,最后下载到CPLD/FPGA才能运行。-60 seconds stopwatch design, may be suspended and the sub-count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:333383
    • 提供者:刘三平
  1. sdram_control.RAR

    0下载:
  2. 基于XILINX FPGA的SDRAM 控制器代码。VERILOG HDL代码编写-SDRAM CONTROLER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3695333
    • 提供者:bigchop ma
  1. iscas89_verilog

    3下载:
  2. Verilog HDL 时序基准电路 ISCAS89-ISCAS89 sequential benchmark circuits Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1291570
    • 提供者:tao
  1. yibu_FIFO_design

    0下载:
  2. 异步FIFO实例,精通verilog hdl中的例子,供大家学习-Asynchronous FIFO instance, in the example verilog hdl proficiency for all learning
  3. 所属分类:source in ebook

    • 发布日期:2017-04-07
    • 文件大小:2357
    • 提供者:
  1. GFverilog-hdl

    0下载:
  2. 伽罗华域的乘法器的设计,使用有限域设计乘法器-Galois field multiplier design, the use of finite field multiplier design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1557
    • 提供者:许皓天
  1. yindaiao

    1下载:
  2. Verilog HDL语言,在FPGA开发板上实现电子琴弹奏的功能-Verilog HDL language, in the FPGA development board to achieve the functions of keyboard play
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:448050
    • 提供者:双目林
  1. dds

    1下载:
  2. 基于FPGA的DDS设计,本程序采用verilog HDL语言编写,使用DDS+Pll倍频-The DDS-based FPGA design, the procedures used verilog HDL language, the use of DDS+ Pll frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:190930
    • 提供者:赵一
  1. RS-code

    0下载:
  2. 我测试过的!Verilog HDL实现RS编码。-I' ve tested it! RS coding Verilog HDL implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:982874
    • 提供者:kiekie
  1. pal_vedio

    3下载:
  2. 基于FPGA的pal制模拟视频显示程序,verilog Hdl-pal-d vedio display fpga verilog
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-10
    • 文件大小:1365
    • 提供者:wushj
  1. add_tree_mult

    0下载:
  2. 8位加法树乘法器,实现两个8位二进制数相乘,采用verilog hdl-8-bit adder tree multiplier, the achievement of the two 8-bit binary number multiplied, using verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:852
    • 提供者:江浩
  1. i8255_verilog

    1下载:
  2. 8255的Verilog hdl源代码,适合FPGA工程师使用-8255' s Verilog hdl source code for FPGA engineers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-20
    • 文件大小:4096
    • 提供者:彭涛
  1. FPGA_AD7822

    0下载:
  2. 基于FPGA的AD转换控制器设计,AD7822,quartus II,verilog hdl-A Design of the A/D Convertion Control Module Based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:57810
    • 提供者:sxy
  1. 8051_source_2.8a

    0下载:
  2. 8051内核的hdl代码,实际上是verilog格式不过上载页面只有一个vhdl选择,值得一读, 里面对仿真和验证的说明很有含金量-the hdl code of 8051 core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:38961
    • 提供者:mars
  1. tftdot

    0下载:
  2. 我用verilog hdl写的tft lcd屏的控制程序,用来点亮屏上的任意点-I write the program in verilog hdl,it is used to control the tft lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:872
    • 提供者:张颜
« 1 2 ... 37 38 39 40 41 4243 44 45 46 47 ... 50 »
搜珍网 www.dssz.com