CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - rtl

搜索资源列表

  1. REALTEK WIFI DRIVER

    1下载:
  2. REALTEK WIFI DRIVER chipset RTL8188EUS,RTL8189ES
  3. 所属分类:驱动编程

    • 发布日期:2018-02-22
    • 文件大小:13441209
    • 提供者:stevenweng
  1. ambartl

    0下载:
  2. amba总线的rtl代码,仿真用,不可综合。(AMBA bus RTL code, simulation, can not be integrated.)
  3. 所属分类:微处理器开发

  1. RTL8812AU_linux_v4.3.20_16317_20160108

    2下载:
  2. RTL88x2 wifi无线网卡驱动,适用于linux2.6.x 3.x平台,使用平台指定交叉编译工具编译即开使用,编译为ko文件(The RTL88x2 wifi wireless card driver is applicable to the linux2.6. X 3. X platform, which USES the platform to specify the cross-compilation tool to compile and use, and compiles the
  3. 所属分类:Linux/Unix编程

    • 发布日期:2018-04-30
    • 文件大小:24480768
    • 提供者:孑风
  1. 8051

    0下载:
  2. The resource code of The 8051 microcontroller is member of MCS-51 family, originally designed in the 1980's by Intel. The 8051 has gained great popularity since its introduction and is estimated it is used in a large percentage of all embedded system
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:5686272
    • 提供者:caop
  1. si四位加法器

    0下载:
  2. 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:28672
    • 提供者:小柠
  1. modol

    0下载:
  2. 蓝牙的一个ip RTL 核,不知道对大家有没有用?谢谢,()
  3. 所属分类:Windows编程

    • 发布日期:2018-05-03
    • 文件大小:4096
    • 提供者:AOYJ%21939894
  1. 8051Core

    0下载:
  2. 8051 Core Verilog RTL IP Code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1597440
    • 提供者:richman
  1. rtl

    1下载:
  2. 实现AD7606数据采集,基于xilinx的6系列(Realization of AD7606 data acquisition)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:17408
    • 提供者:歌不尽江湖
  1. rtl

    0下载:
  2. Learn the code freely to provide everyone with learning and hope to help everyone. Thank you
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-07
    • 文件大小:9216
    • 提供者:度小心
  1. NIOS设计从入门到精通

    0下载:
  2. nios大神进阶,一本非常好的FPGA书籍,从RTL到eclips(nios tech.a very good book learning FPGA tech.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-08-03
    • 文件大小:2948096
    • 提供者:qianrnerui
  1. 8051 Verilog Code

    1下载:
  2. 8051 Core Verilog RTL code
  3. 所属分类:VHDL编程

    • 发布日期:2018-07-17
    • 文件大小:1597469
    • 提供者:bgtservice
  1. AES128 Verilog Code

    1下载:
  2. AES128 Encryption/Decryption Verilog RTL Code
  3. 所属分类:VHDL编程

    • 发布日期:2018-07-17
    • 文件大小:199932
    • 提供者:bgtservice
  1. FIFO_UVM

    1下载:
  2. fifo uvm this is total fifo tb with uvm including score board with total uvm_topology with test cases with rtl giving proper output(this is total fifo tb with uvm including score board with total uvm_topology with test cases with rtl giving prop
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-27
    • 文件大小:231424
    • 提供者:gana123
  1. apb_timer.tar

    1下载:
  2. 是基于apb总线下的timer外设的rtl代码,主要包括apb_timer的master逻辑verilog,以及相应的开发文档,包括寄存器的描述,功能特性等。(RTL code is based on timer peripheral under APB bus, which mainly includes master logic Verilog of apb_timer and corresponding development documents, including the descr
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-26
    • 文件大小:67584
    • 提供者:megmand
  1. RISC

    1下载:
  2. URISC的RTL级设计,Verilog代码(Design: URISC RTL Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-15
    • 文件大小:4096
    • 提供者:Phystan
  1. dpd_v6_0_example_design

    0下载:
  2. rtl代码级的dpd 参考代码 包含六个文件夹分别为: 1.clk_gen 2.device,器件信息 3.dpd v6.0,rtl代码. 4.package 5.platform 6.rf_board
  3. 所属分类:VHDL编程

    • 发布日期:2019-12-26
    • 文件大小:1997779
    • 提供者:zx2038881
  1. formal_verification

    1下载:
  2. 现在最流行的RTL设计方法之一,本书为全球流行的设计入门书籍(One of the most popular RTL design methods nowadays, this book is an introductory book for popular design all over the world.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-07
    • 文件大小:4897792
    • 提供者:tanbour
  1. ppm编解码器

    2下载:
  2. 进行ppm编解码的verilog代码,RTL描述(Verilog code for ppm encoding and decoding, RTL descr iption)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-26
    • 文件大小:28672
    • 提供者:孔_刘
« 1 2 ... 18 19 20 21 22 23»
搜珍网 www.dssz.com