CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 -

搜索资源列表

  1. sericommu

    0下载:
  2. 串口通信程序.在波特率为9600的串口通信程序-serial communication program. The baud rate for the 9600 serial communication program
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12512
    • 提供者:刘吉
  1. digitalinterfaceuart

    0下载:
  2. 文件说明了在fpga/cpld中怎样实现数据接口及其实例了urat-note of the document they simply / cpld How Data Interface and the examples of urat
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2954
    • 提供者:liu
  1. wavegenerator_testbench

    0下载:
  2. 此文件采用了verilog语言在cpld中怎样实现波形发生器,及其验证程序-this document using the Verilog language in the cpld How to achieve waveform generator, and the verification process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4174
    • 提供者:liu
  1. ARM9_instruction_cache_verilogCodes

    0下载:
  2. Arm9指令Cache缓存模块的verilog代码,对一些做ARM硬件开发的朋友有参考价值。-Arm9 Instruction Cache Cache Module Verilog code, do some of the hardware development of the ARM friends reference value.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3077
    • 提供者:杨力
  1. song123

    0下载:
  2. 梁祝音乐设计 hdl语言实现 很常用-love music HDL design language is used
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1125
    • 提供者:愈加
  1. ethern

    0下载:
  2. 此代码是用Verilog实现的以太网接口,在此基础上做修改,可以作为一般的以太网接口程序开发.-this Verilog code is used to achieve the Ethernet interface, in this done on the basis of changes as a general Ethernet interface development.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:123920
    • 提供者:刘志明
  1. VgaChinese

    0下载:
  2. 在显示器上显示汉字,在FPGA上实现,使用Verilog HDL 设计,完全可是直接使用-on display in Chinese characters, achieving the FPGA, using Verilog HDL design, However, the use of direct completely
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:15434
    • 提供者:wpb3dm
  1. seg_led_rtl

    0下载:
  2. 使用FPGA控制数码管,在数码管上动态的显示数字,很使用,可以直接作为其他模块的子模块,直接调用-FPGA use of digital control in the digital tube dynamic display figures that use, direct module as other sub-module, called directly
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7747
    • 提供者:wpb3dm
  1. pro001_buzzer

    0下载:
  2. 使用FPGA控制蜂鸣器的程序,用Verilog HDL设计,可以是蜂鸣器发出各种不同的声音-FPGA use buzzer control procedures, using Verilog HDL design, it is the buzzer sounded different voices
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:779627
    • 提供者:wpb3dm
  1. dds_quicklogic

    0下载:
  2. 高手写的VHDL源码,实现DDS跳频器功能 请大家多提意见-experts write VHDL source code, the frequency-hopping DDS functionality Please speak up
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:25440
    • 提供者:duyi
  1. videodigitalsignalscontroller

    0下载:
  2. 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8782
    • 提供者:yan
  1. colorchange

    0下载:
  2. 用verilog hdl实现色彩空间转换,rgb到ycbcr-with Verilog HDL achieve color space conversion, rgb to RS
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:12693
    • 提供者:翟博
  1. usb_ctr

    0下载:
  2. usb的verilog 代码。对理解usb的原理有很大帮助,并可以在nc环境下仿真。-usb the Verilog code. Usb to understand the principle is very helpful, and to be nc simulation environment.
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:53411
    • 提供者:hongbo
  1. USB2_chip

    0下载:
  2. USB2.0 chip的一部分verilog源码。opencore上下的,还比较好用:)-USB2.0 chip part of Verilog source. Opencore ish, but also better quality :)
  3. 所属分类:USB编程

    • 发布日期:2008-10-13
    • 文件大小:36519
    • 提供者:戴鹏
  1. Usb_RTL(VHDL_Verilog)

    0下载:
  2. USBRTL电路的VHDL和Verilog代码-USBRTL Circuit VHDL and Verilog code
  3. 所属分类:USB编程

    • 发布日期:2008-10-13
    • 文件大小:268958
    • 提供者:戴鹏
  1. RS232-for-vdhl

    0下载:
  2. RS232通讯VHDL源代码,MAXPLUS 2环境执行通过-RS232 communications VHDL source code, Segments 2 environment through implementation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162360
    • 提供者:lq
  1. generic_fifo

    1下载:
  2. 这是从opencores下的fifo代码,包括了异步和同步的,还有写的testbench,希望对大家有用.-This is opencores fifo under the code, including asynchronous and synchronous. There testbench written in the hope that useful for all.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21078
    • 提供者:daiowen
  1. statem

    0下载:
  2. 元件例化与层次设计,verilog 实例说明-components cases with the level of design, Verilog example
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:863
    • 提供者:赵英军
  1. 1091516677

    0下载:
  2. PCI总线仲裁参考设计Verilog代码-PCI bus arbitration reference design Verilog code
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:3673
    • 提供者:熊熊
  1. 148个verilog hdl小程序(有很多testbench)——

    6下载:
  2. 148个verilog hdl小程序(有很多testbench)——.-148 Verilog HDL small programs (many testbench) from Part
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:56068
    • 提供者:地方
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com