CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 -

搜索资源列表

  1. manydecoders_V

    0下载:
  2. 各种解码译码电路模型的VerilogHDL描述-various decoder decoding circuit model of Verilog HDL descr iption
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2822
    • 提供者:nil
  1. Verilogexamples

    0下载:
  2. Verilog变成100例,里面包含了Verilog编程中常见的一些例子,对于新手还是很有帮助的。-Verilog into 100 cases, they include a Verilog Programming common examples is very helpful for the novice.
  3. 所属分类:按钮控件

    • 发布日期:2008-10-13
    • 文件大小:43949
    • 提供者:张存飞
  1. 8LEDverilog

    0下载:
  2. //led.v /*------------------------------------- LED显示模块:led(CLK,AF,ADDR,DATA) 功能: 显示 注意事项: 8位LED 参数: CLK:扫妙时钟输入,推荐1kHz AF:数码管输出,a~h ADDR:数码管选择位数出,0~2 DATA:显示数据输入0~9999 9999 编写人: 黄道斌 编写日期: 2006/07/13 ----------------
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1746
    • 提供者:黄道斌
  1. sram

    1下载:
  2. sram 读写小程序,用verilog编写的,请各位高手指教-SRAM read and write small programs using Verilog prepared, please enlighten you master
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1206
    • 提供者:kevin
  1. DE2_i2sound

    0下载:
  2. DE2_i2sound.rar,大家快来下啊,做好了的IP核-DE2_i2sound.rar, everyone is breaking under ah, do a good job of the IP Core
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:27772
    • 提供者:zhan
  1. DE2_Top

    0下载:
  2. DE2_Top.rar,做好了的IP核,大家开来下啊!-DE2_Top.rar, do a good job of the IP core, open to everyone under ah!
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:19820
    • 提供者:zhan
  1. color_proc333

    0下载:
  2. 基于fpga的MJPEG编码,用硬件描述语言vlogic写的-they simply based on the JPEG coding, using hardware descr iption language to write the vlogic
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:10059
    • 提供者:xiao
  1. countqi

    0下载:
  2. 计数器 同步异步预置数清零 verilog hdl 编写-Asynchrony preset counter reset the Verilog HDL few prepared
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:272065
    • 提供者:周颖
  1. xapp290

    0下载:
  2. 从Xilinx网站上下的,学习FPGA部分动态重配置很好的例子。-from across the Xilinx website, learning some FPGA dynamic reconfigurable good example.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2554642
    • 提供者:sk
  1. verilog100

    0下载:
  2. 有很多例子及测试代码,对初学者很有帮助,很容易上手-a lot of examples and test code, useful for beginners, it is easy to get started
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:175045
    • 提供者:bobodu
  1. RS_decoder

    1下载:
  2. rs编码vvhdl 希望能通过 我不晓得具体对大家有用否 希望懂rs编码的多多交流 -rs coding vvhdl I do not want to be able to know the specific useful whether you want to understand a lot of coding rs exchange
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:15641
    • 提供者:niepan
  1. eathnet

    0下载:
  2. 百兆以太网mac和mii的vhdl源程,作IPcore的时候非常有用-Fast Ethernet MII and the VHDL source way for IPcore very useful when
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:124437
    • 提供者:王前
  1. I2CSlave

    0下载:
  2. Verilog HDL实现的I2C Slave模拟-achieve the Verilog HDL simulation I2C Slave
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1521
    • 提供者:lzy
  1. lru_new

    0下载:
  2. 采用LRU替换算法。这种算法选择最久没有被访问的块作为被替换的块。 为了实现LRU算法,要在块表中为每一块设置一个计数器(cnt0,cnt1,cnt2,cnt3,)。计数器的长度为2位。-using LRU replacement algorithm. This algorithm to choose the most long visit is not being replaced as a block by block. To achieve LRU algorithm, in bloc
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1546
    • 提供者:wangjiao
  1. m16550a_verilog_rtl

    1下载:
  2. mentor UART IP verilog源码 以通过验证.-mentor UART IP verilog source to the test.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:26110
    • 提供者:cray
  1. FSM_Westor

    0下载:
  2. 状态机得用法,可以帮助新手了解状态机得用法以及掌握用途-state machine in use, and can help newcomers understand the state machine in use, and control purposes
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2390
    • 提供者:andyxm
  1. lcd12

    0下载:
  2. 基于ALTERA公司的DE2的LCD显示程序,一起学习.非常好的资料,也非常难得.是我参加培训时所得-the DE2 LCD display program, learning together. Very good information, and they are extremely rare. I receive training
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:5858
    • 提供者:唐老鸭
  1. usb1.1phy

    1下载:
  2. USB 1.1 PHY的代码,verilog语言 USB 1.1 PHY的代码,verilog语言-USB 1.1 PHY code, verilog language USB 1.1 PHY code, verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8753
    • 提供者:william
  1. randn

    0下载:
  2. 随机序列发生器,是一个m序列,生成函数都写在里面,位宽为4,可以改变!-random sequence generator, m is a sequence, generating function will be included in the inside, for four bit-can be changed!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:117793
    • 提供者:lw234620
  1. permute

    0下载:
  2. 交织器的一部分,可以生成交织索引函数,如果加上一个随即序列发生器就可以组成一个交织器 了!-interleaver part of the index can be generated intertwined function, If coupled with a sequence generator immediately on the formation of a interleaver!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1757
    • 提供者:lw234620
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com