CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. 线性移位寄存器的C语言实现

    1下载:
  2. 线性移位寄存器是流密码的重要组成部分,该程序就是实现该方法。- The linearity shift register is the stream cipher important constituent, this procedure realizes this method.
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:11131
    • 提供者:王清华
  1. 时序逻辑:VHDL实例---移位寄存器

    1下载:
  2. 时序逻辑种类:VHDL实例---移位寄存器-sequential logic types : VHDL examples --- Shift Register
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2175
    • 提供者:张洪
  1. 移位寄存器

    0下载:
  2. 移位寄存器,VHDL编写,具有很高的参考价值~-a shift register written in VHDL, which has very high reference value.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1113
    • 提供者:
  1. 四位移位寄存器用vhdl语言设计

    0下载:
  2. 四位移位寄存器用vhdl语言设计
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-15
    • 文件大小:10752
    • 提供者:suzhouren
  1. 8位并入串出移位寄存器

    0下载:
  2. 8位并入串出移位寄存器
  3. 所属分类:源码下载

  1. shift_register.用Verilog实现的移位寄存器

    1下载:
  2. 用Verilog实现的移位寄存器,可以实现左移、右移等功能,Using Verilog implementation of the shift register, you can achieve the left, shifted to right and other functions
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:2967
    • 提供者:huhahuha
  1. shifter.实现串行数据与并行数据的转换

    2下载:
  2. 8位双向移位寄存器: 实现串行数据与并行数据的转换,移位寄存数据功能的,8-bit bi-directional shift register: the realization of serial data and parallel data conversion, data storage function of displacement
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:45758
    • 提供者:罗子
  1. shifter.rar

    0下载:
  2. verilog实现的“并行输入、并行输出移位寄存器”,verilog to achieve a " parallel input, parallel output shift register"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:526
    • 提供者:王先生
  1. par_serial-and-serial_par-VHDL

    0下载:
  2. 并入串出移位寄存器和8路并行输出串行移位寄存器的VHDL代码,经Quartus II 5.1验证可用,String into a shift register and 8-way parallel output serial shift register of the VHDL code, the Quartus II 5.1 can be used to verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1006
    • 提供者:随风
  1. 74ls164.rar

    0下载:
  2. 74HC595是具有8位移位寄存器和一个存储器,三态输出功能。,74HC595 is an 8-bit shift register and a memory, three-state output.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:100117
    • 提供者:zhangqiang
  1. left_shift_register

    0下载:
  2. 用EDA实现的一个带有同步并行预置功能的8位左移移位寄存器-With the EDA to achieve a preset function in parallel with synchronous 8-bit left shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:147383
    • 提供者:哈哈
  1. shiftdata

    0下载:
  2. 双向移位寄存器的VHDL源程序,自己做实验编写的可以用 谢谢大家-Bi-directional shift register of the VHDL source code, prepared by their own experiments can be used Thank you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:918
    • 提供者:朱武增
  1. OPERATION_UNIT

    0下载:
  2. 本程序为加密芯片内部加密运算单元部分,包括32位减法器、移位寄存器、加/减法器、寄存器等,对密码芯片运算部分设计具有一定指导意义-The procedure for encryption chip unit internal encryption algorithms, including 32-bit subtraction, and shift register, add/subtraction, and register and so on password-chip design has
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2363
    • 提供者:zhaohongliang
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1403
    • 提供者:李辛
  1. VHDL_exmple

    0下载:
  2. VHDL编程一百例,包括加法器、乘法器、移位寄存器、奇偶校验器等。pdf格式的,仅供学习使用-VHDL Programming 100 cases, including the adder, multiplier, shift register, parity, etc.. pdf format, for learning to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6634283
    • 提供者:
  1. UART_receiver

    0下载:
  2. 通用串口收发器的移位寄存器 是verilog hDl编写-uart_reg
  3. 所属分类:Com Port

    • 发布日期:2017-04-14
    • 文件大小:3305
    • 提供者:杨立海
  1. 74HC595

    0下载:
  2. 74HC595具有一个8位串行输入并行输出的移位寄存器和一个8位输出-74HC595 with an 8-bit serial input parallel output shift register and an 8-bit output
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:1231
    • 提供者:新方法
  1. 线性卷积编码的线形移位寄存器poly2trellis的解释

    1下载:
  2. 线性的卷积编码,基本的信道编码,可以由线性移位寄存器(即线性多项式)构成。 输出网格trellis表示由移位寄存器组成的网格装的卷积编码器,通过移位寄存器多项式生成,所以在Matlab中的函数叫做poly2trellis,也就是多项式poly-to-网格trellis,用来描述寄存器的结构方式。生成的trellis可以作为线形卷积编码函数convenc和或者其解码(如Viterbi解码函数vitdec)的输入。
  3. 所属分类:编程文档

    • 发布日期:2017-02-19
    • 文件大小:36864
    • 提供者:vvvivian
  1. 基础实验_11_移位寄存器 :线性反馈移位寄存器

    0下载:
  2. 实现线性寄存器的移位和反馈,通过FPGA开发板实现功能(The shift and feedback of the linear register are realized)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:256000
    • 提供者:搁浅~~~
  1. 移位寄存器

    0下载:
  2. 适用于西门子200系列plc程序 移位寄存器指令的应用(It is suitable for SIEMENS 200 series PLC program shift register instruction application.)
  3. 所属分类:其他行业

    • 发布日期:2018-05-01
    • 文件大小:5120
    • 提供者:bise
« 12 3 4 5 6 7 8 9 10 ... 29 »
搜珍网 www.dssz.com