CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加法器

搜索资源列表

  1. csxl

    0下载:
  2. 相应加法器的测试向量(test bench)-corresponding Adder test vector (test bench )
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1687
    • 提供者:李清
  1. jfq1

    0下载:
  2. vhdl和verling hdl 的加法器-VHDL and the Adder.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1743
    • 提供者:李清
  1. addch1

    0下载:
  2. 用vhdl语言设计CPU中的一部分:加法器的设计,包括多种加法器的设计方法!内容为英文-design using VHDL language part of the CPU : Adder design, Adder including multiple design! As for the English
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:392983
    • 提供者:qindao
  1. nju_adder

    0下载:
  2. 用汇编写的加法器,用户输入两个数及操作符和等号,即可得结果-was compiled using the Adder, user input and the number two operator and equal, the findings can be
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:913
    • 提供者:魏植树
  1. cla_vhd

    1下载:
  2. 超前进位加法器的例子,包括源码和测试文件,压缩包,无密码.-CLA of examples, including source code and test documents, compressed, without a password.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1194
    • 提供者:王卫
  1. vhdldesign

    1下载:
  2. 浮点加法器的VHDL算法设计 浮点加法器的VHDL算法设计-floating point adder VHDL algorithm design of the floating point adder VHDL Design Algorithm
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:203178
    • 提供者:yan
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. 200652519182195904

    0下载:
  2. 介绍了vhdl语言的知识,包括元件,加法器,计数器等的编程-introduced VHDL language knowledge, including components, Adder, counters and other programming
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:6555
    • 提供者:hcf2005
  1. CALCULAT.ZIP

    0下载:
  2. verilog源码,可实现两位的加法器,在xillinx foundation 3.1下验证通过-verilog source, the two can achieve Adder, In xillinx foundation 3.1 certification through
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:623499
    • 提供者:沈佳麒
  1. jiafaqi

    0下载:
  2. 实现四位加法器的VHDL代码,里面含有全加器的代码-achieve four Adder VHDL code, which contains the full adder code
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:828
    • 提供者:丘志光
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. Assembly

    0下载:
  2. 32BIT加法器,輸入2個數字就可以跑出2個數字的合-32BIT Adder, the importation of two figures will be portrayed two figures cooperation
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:853
    • 提供者:陳宏期
  1. adder_ahead8bit

    1下载:
  2. 本文件提供了用verilog HDL语言实现的8位超前进位加法器,充分说明了超前进位加法器和普通加法器之间的区别.-using verilog HDL achieve the eight-ahead adder, fully demonstrates the CLA for ordinary Adder and the distinction between.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10307
    • 提供者:剑指眉梢
  1. jiafaqimiaoshu

    0下载:
  2. 一个简单的加法器描述,以前在别的网站上被发过,现在存在这里.-a simple adder described previously in other websites have been made, and now exist here.
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:1294
    • 提供者:李一鸣
  1. add_16_pipe

    0下载:
  2. 16位加法器的流水线计算,verilog代码,用于FPGA平台。-16 pipelined adder, verilog code for the FPGA platform.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:809
    • 提供者:qjyong
  1. nbit_Adder

    0下载:
  2. VHDL——N位加法器设计-VHDL -- N-adder design RECOMMENDATIONS
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5585
    • 提供者:钱伟康
  1. adder215

    0下载:
  2. 有关于加法器的vhdl编程,是用赛灵思的fpga实现的,可以在赛灵思网站上找到更具体的说明-Adder on the vhdl program is the use of the Xilinx fpga achieve. Xilinx website can be found on more specific details of their
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8621
    • 提供者:zoujinzhi
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. adder_4bit

    0下载:
  2. 四位加法器,用OrCAD完成,可用于八位乃至十六位加法器的设计原型-four adder with OrCAD completed, can be used for eight or even 16 Adder design prototype
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1361
    • 提供者:z9z9
  1. 128bitminus

    0下载:
  2. 128乘法模拟器 c M位乘N位不带符号整数的阵列乘法中加法---移位操作的被加数矩阵.每一部分乘积项ab叫做一个被加数.m*n个被加数可以用m*n个”与门”并行的产生. 以5位乘5位不带符号的阵列乘法器(m=n=5)为例(如下图): FA为一位全加器,FA的斜线方向为进位输出,竖线方向为和输出,而所有被加数项的排列和正常的A*B=P乘法过程中的被加数矩阵相同.图中用矩形围成的阵列中最后一行构成一个行波进位加法器,其时间延迟为(n-1)2T.当然,为了缩短加法时间,最后一行的行
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:47357
    • 提供者:fred
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com