CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加法器

搜索资源列表

  1. 一些VHDL源代码

    0下载:
  2. 内有波形发生器,加法器,经典双进程状态机,伪随机熟产生器,相应加法器的测试向量,16×8bit RAM,FIFO,通用RAM等源程序-within waveform generator, Adder, classic dual-process state machine, cooked pseudo-random generator, the corresponding Adder test vector, 16 x 8bit RAM, FIFO, etc. source generic RAM
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45110
    • 提供者:蔡孟颖
  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 Adder, digital clock, digital d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. ADD_SUB

    1下载:
  2. 11,13,16位超前进位加法器的Verilog HDL源代码。-11,13,16-CLA for the Verilog HDL source code.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4121
    • 提供者:周金喜
  1. 1_ADDER

    0下载:
  2. 这个是带输入的加法器vhdl代码,是带有输入端和进位的.-with imported Adder VHDL code, which is input into and spaces.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:23015
    • 提供者:李乐雅
  1. 89_full_adder

    0下载:
  2. 这个是带先行进位的加法器的vhdl代码,比较复杂,仅仅供大家参考.-into first place with the addition of VHDL code more complicated, just for reference.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:21150
    • 提供者:李乐雅
  1. booth_mul

    2下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols / unsigned multiplication of the number of binary multipliers. The multiplier used to impr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19758
    • 提供者:李鹏
  1. 加法

    0下载:
  2. 测试向量波形产生:VHDL实例---加法器源程序 -test vector Waveform Generator : VHDL example -- Adder source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2420
    • 提供者:张洪
  1. ripple-lookahead-carryselect-adder

    0下载:
  2. Ripple Adder: 16-bit 全加,半加及ripple adder的设计及VHDL程序 Carry Look ahead Adder:4, 16, 32 bits 前置进位加法器的设计方案及VHDL程序 Carry Select Adder:16 Bits 进位选择加法器的设计方案及VHDL程序-Ripple Adder : 16-bit full adder, semi-Canada and the ripple adder design and VHDL procedur
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:15972
    • 提供者:李成
  1. ceshixiangliang

    0下载:
  2. vhdl 测试向量含测试向量(Test Bench)和波形产生:VHDL实例---相应加法器的测试向量(test bench).txt-VHDL test vector containing test vector (Test Bench) and Waveform Generator : VHDL examples --- corresponding Adder test vector (test bench). Txt
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11173
    • 提供者:陈丽
  1. adder16bit

    0下载:
  2. 16位高速加法器,采用verilog语言编写,已经成功仿真,能够运行
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2205
    • 提供者:modelsims
  1. adder4_1

    0下载:
  2. 这是用vhdl编写的四位加法器,请多指教-this is the preparation of the four VHDL Adder, please enlighten
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8308
    • 提供者:jason
  1. sum99

    0下载:
  2. 基于maxplus2的八位加法器,已经通过仿真-maxplus2 based on the eight Adder, through simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1060
    • 提供者:海洋
  1. FPGAprogram5

    2下载:
  2. 数控振荡器的频率控制字寄存器、相位控制字寄存器、累加器和加法器可以用VHDL语言描述,集成在一个模块中,提供VHDL源程序供大家学习和讨论。 -NC oscillator frequency control word register, phase control word register, and processing instruments used accumulator can be used VHDL descr iption, in an integrated modules
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3844
    • 提供者:许嘉
  1. dsdad

    0下载:
  2. 关于bcd码加法器 第一个是一位的 通过了 第二个是四位的没通过?赜赽cd码加法器 第一个是一位的 通过了 第二个是四位的没通过-on bcd yards Adder is a section through one of the second of four is not the adoption of codes increases bcd instruments used in a section of the passage of a second four is not the ado
  3. 所属分类:TreeView控件

    • 发布日期:2008-10-13
    • 文件大小:6863
    • 提供者:齐然
  1. VHDL5

    0下载:
  2. 加法器 乘法器电路 除法器电路设计 键盘扫描电路设计 显示电路-Adder multiplier circuit divider circuit design keyboard scan circuit design show circuit, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6607
    • 提供者:ngy68
  1. addsub_cy_

    0下载:
  2. 加法器核,带进位位的,xilinx公司的核,能用-Adder nuclear, into place at the company's nuclear Xilinx can use
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1493
    • 提供者:徐泯
  1. source_verilog

    0下载:
  2. verilog shi 实现的加法器(8位)适用于初学asic -Verilog realized Adder (8) applies to beginners blends
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:159547
    • 提供者:高浪
  1. adderN

    0下载:
  2. N位加法器源代码,通用的,通过xilinx验证,希望对大家有用。-N-bit adder source code, a common, through Xilinx certification, useful for all.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2578
    • 提供者:nile
  1. FPPADD

    0下载:
  2. 加法器,汇编语言MASM,可实现任意字长的两个数相加,界面友好,使用方便-Adder, the compilation of language Shape, size can achieve the arbitrary sum of the number two, friendly interface and easy to use
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:905
    • 提供者:fpp
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com