CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rtl

搜索资源列表

  1. 80211b-simlink.rar

    0下载:
  2. 802.11b simulink simulation source code for PHY layer. It can be used to generate bit-true test vector for RTL level design(FPGA). ,802.11b simulink simulation source code for PHY layer. It can be used to generate bit-true test vector for RTL level d
  3. 所属分类:Communication

    • 发布日期:2017-03-27
    • 文件大小:88441
    • 提供者:freedragon
  1. RTL

    0下载:
  2. 256位有符号整数乘法器,个人学习时编写,接口为IPBUS,用verilog语言编写-256-bit signed integer multiplier, when writing individual learning, the interface IPBUS, with verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2918
    • 提供者:
  1. 8051单片机源码verilog版本

    1下载:
  2. 8051单片机源码verilog版本 包括rtl, testbench, synthesis ,Verilog source code version of 8051, including rtl, testbench, synthesis
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-25
    • 文件大小:509633
    • 提供者:carol
  1. USB2.0IP(RTL)

    4下载:
  2. USB2.0 IP核,ASIC,FPGA可用,Verilog HDL源代码-USB2.0 IP,Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-29
    • 文件大小:64776
    • 提供者:AmazingEric
  1. rtx-source

    3下载:
  2. ARM rtl kernel 源代码带有详细注释-ARM rtl kernel source code with detailed comments
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2013-03-07
    • 文件大小:27416
    • 提供者:丁云荣
  1. IFFT-RTL

    0下载:
  2. 本人自己写的可实现512点或64点IFFT算法的verilog硬件代码-the verilog code for IFFT algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:279701
    • 提供者:李慧
  1. mdio

    0下载:
  2. MDIO verilog RTL代码,SOC可以通过MDIO接口来访问外部PHY等慢速外设-MDIO verilog RTL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-27
    • 文件大小:4096
    • 提供者:dingyy
  1. Camera_Interface_Verilog

    0下载:
  2. 该源代码包是基于片上系统的摄像头接口的Verilog语言程序,它包括以下5部分:RTL源代码,测试平台,软件仿真C代码,FPGA综合时的sdc和ucf文件,说明文档。-This source code package is the camera interface module based on the SoC use Verilog language. It has the following 5 parts: RTL code, testbench, software simulating
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:348043
    • 提供者:jinjin
  1. drv.tar

    0下载:
  2. Linux下rtl8187驱动。无线卡网是一款功能强大的usb无线网卡。传输距离室外达1km.-Rtl8187 driver under Linux. Wireless network card is a powerful usb wireless card. Outdoor transmission distance up to 1km.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-27
    • 文件大小:102088
    • 提供者:白顺
  1. 64point_FFT

    4下载:
  2. 64-point Pipeline FFT,包含Verilog语言编写的64点FFT运算rtl级程序以及测试程序,此外,还包含设计文档。-64-point Pipeline FFT, Verilog language includes a 64 point FFT computation rtl-level procedures and testing procedures, in addition, includes the design documents.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-08
    • 文件大小:1249280
    • 提供者:小飞
  1. Altera-Recommended-HDL-Coding-Style

    0下载:
  2. Altera 推荐的HDL编码风格,在学习HDL的时候比较重要,另外对HDL到RTL的映射有一定的帮助。-Altera Recommended HDL Coding Style
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:238201
    • 提供者:严刚
  1. lift_verilog

    0下载:
  2. 用verilog实现的电梯控制器,代码中有详细的注释说明,是学习rtl设计很好的资料-The elevator controller using verilog implementation, the code has detailed notes, is good datum to learn rtl design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:11742
    • 提供者:
  1. rel_08_done

    0下载:
  2. 修改自OpenCores的黑白棋游戏代码。采用VGA输出显示,PS2键盘(W、A、S、D、回车)输入控制,实现AI,LED灯指示是否游戏结束,VGA显示频率25MHz,系统频率50MHz,经过Cyclone IV芯片EP4CE115F29C7N的板级调试,实现全部功能,文件夹下有rtl源代码,管脚定义pin文件,和可以直接进行JTAG烧写和E2PROM烧写的pof和sof文件,-Modified from OpenCores Othello game code. Using the VGA ou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:253049
    • 提供者:诗律
  1. ViterbiDecodeK9R12HardDecision

    0下载:
  2. viterbi 硬判决译码,基本实现了(2,1,9)卷积码的硬判决译码,用modelsim RTL仿真通过-hard-decision viterbi decoding, the basic realization of the (2,1,9) convolutional codes hard decision decoding, using modelsim RTL simulation through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:13031
    • 提供者:maojunling
  1. P8051

    0下载:
  2. This a FREE tool chain which compiles C codes into 8051 binary code, converts the binary to RTL ROM, and simulate in Modelsim. SDCC is the compiler. Example compilation: cd compile sdcc --iram-size 0x80 --xram-size 0x800 t8051.c RE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8101153
    • 提供者:zhao xin ke
  1. USB1_CORE

    0下载:
  2. USB v1.1 RTL and design specification
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:203047
    • 提供者:QiangWang
  1. dbg_interface

    0下载:
  2. USB v1.1 RTL and design specification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:693604
    • 提供者:QiangWang
  1. ahb_arbiter

    0下载:
  2. USB v1.1 RTL and design specification
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2970816
    • 提供者:QiangWang
  1. 6805

    0下载:
  2. USB v1.1 RTL and design specification
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5948
    • 提供者:QiangWang
  1. OVL

    0下载:
  2. OVL——基于断言的verilog验证 Verilog数字系统设计:RTL综合、测试平台与验证-OVL- assertion-based verification of Verilog Verilog digital system design: RTL synthesis, test and verification platform
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:70426
    • 提供者:
« 1 2 3 4 5 6 78 9 10 11 12 ... 25 »
搜珍网 www.dssz.com