CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-button input module (key) : -- p
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2816
    • 提供者:汪汪
  1. doc_filter_by_software_c_code_by_zhzzh18

    0下载:
  2. 1、限幅滤波法(又称程序判断滤波法) 2、中位值滤波法 3、算术平均滤波法 4、递推平均滤波法(又称滑动平均滤波法) 5、中位值平均滤波法(又称防脉冲干扰平均滤波法) 6、限幅平均滤波法 7、一阶滞后滤波法 8、加权递推平均滤波法 9、消抖滤波法 10、限幅消抖滤波法 -1, limiting filtering method (also known as the filtering procedure judgment) 2, and the media
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1330
    • 提供者:许海洋
  1. FPGAprogram1

    0下载:
  2. 常用键盘消抖模块——VHDL源程序!!!对vhdl编程的人具有很大的帮助,不可不看 -common keyboard Consumers shaking module -- VHDL source! ! ! Right VHDL programming of great help, I can not s
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2518
    • 提供者:许嘉
  1. plussw

    1下载:
  2. 编码电位器原代码,测试过!网上很少有这个资料,这个程序有消抖功能-coding potential for the original code, test it! Few of the online information, the procedure to get a source function
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8023
    • 提供者:李锦国
  1. C51_3X3

    0下载:
  2. C51_3X3键盘扫描程序 包括键消抖延时函,扫描算法-C51_3X3 keyboard scanning procedures, including key source buffeting delay letter, scanning algorithm, etc.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:798
    • 提供者:david
  1. key_state_mechine

    0下载:
  2. 4*4矩阵键盘扫描函数,用状态机实现,不用延时以消抖,只需在一个定时10~12ms左右的中断函数里调用,从而节省了单片机时间-4 * 4 matrix keyboard scan function, the state machine to achieve, not delay to eliminate buffeting, only in a regular 10-12ms about the interruption function within the call, thereby sav
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1170
    • 提供者:lkh
  1. Filtering_Digital_MUC

    0下载:
  2. 单片机数字滤波的十种方法:1、限幅滤波法(又称程序判断滤波法)2、中位值滤波法3、算术平均滤波法4、递推平均滤波法(又称滑动平均滤波法)5、中位值平均滤波法(又称防脉冲干扰平均滤波法)6、限幅平均滤波法7、一阶滞后滤波法8、加权递推平均滤波法9、消抖滤波法10、限幅消抖滤波法-SCM digital filtering of the 10 : 1, limiting filter (also known as the judgment filtering procedures) 2. the m
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:282019
    • 提供者:Jawen
  1. keyboardxiaodou

    0下载:
  2. 按键消抖,参考。要根据脉宽的要求设置不同的记数宽度。-keys buffeting consumer reference. According to the pulse width with different requirements for the entry width.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:964
    • 提供者:zaj
  1. KEYBOARD

    0下载:
  2. 51的4*4键盘驱动当按键按下时返回一个值,且只在按下键时返回一次该值,之后返回0;无按键时返回0;包含消抖功能,在键盘的下降沿触发。 基于keil平台
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:916
    • 提供者:邵威
  1. key

    0下载:
  2. 3*4键盘扫描程序 已加消抖功能 可以扩展为4*4~~~ 只用8个I/O口就能实现
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:933
    • 提供者:王并
  1. AVR_key

    0下载:
  2. 基于MEGA16的按键识别程序,消抖等功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:45706
    • 提供者:chenzhe
  1. MICROCHIP程序实例-debounce按键消抖

    0下载:
  2. MICROCHIP程序实例-debounce按键消抖(Microchip Program instance-debounce button Shake)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:101376
    • 提供者:zhanghongshuai
  1. Single_pwm

    0下载:
  2. 这是一个生成pwm波的程序,使用按键改变占空比的大小,通过增加按键的消抖程序能够精确控制占空比的变化。(This is a program to generate pwm wave, use the button to change the size of the duty cycle, by increasing the key of the shaking program can accurately control the duty cycle changes.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:1002496
    • 提供者:FPGAWY1
  1. video-stabilization

    1下载:
  2. 使用matlab编写的视频消抖的程序,效果不错,可以试试(Matlab written using video jitter elimination program, the effect is good, you can try)
  3. 所属分类:其他

    • 发布日期:2017-12-29
    • 文件大小:237568
    • 提供者:面朝大鹏
  1. keypad

    0下载:
  2. 4*4的薄膜按键,采用状态机实现译码功能和按键消抖功能(4 * 4 of the film button, using the state machine to achieve decoding and key function)
  3. 所属分类:VHDL/FPGA/Verilog

  1. Lesson09:BJ-EPM240学习板实验2——按键消抖实验

    0下载:
  2. Quartus的按键消抖设计实验视频讲解,讲解的很详细,对于新手来说还是蛮不错的(Quartus key to shake down the design of experimental video explanation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:46035968
    • 提供者:YouKnowWho
  1. xiaodou

    0下载:
  2. 利用Verilog语言进行编写的V代码,实现的按键消抖功能(The V code written in Verilog language is used to realize the function of button dithering.)
  3. 所属分类:硬件设计

    • 发布日期:2018-05-01
    • 文件大小:486400
    • 提供者:somnus浅眠
  1. sw_debounce

    0下载:
  2. 按键消抖,更改计数值可随意调节按键消抖的时间。(Button to shake down, change the value of the meter, you can adjust the button to shake time.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:vierh
  1. keybroad

    0下载:
  2. 本程序是基于verilog语言的程序,作用是键盘消抖,数码管显示(This procedure is based on Verilog language program, the role is to eliminate keyboard shaking, digital display.)
  3. 所属分类:其他

    • 发布日期:2018-05-04
    • 文件大小:3135488
    • 提供者:啊光
  1. 十大滤波算法程序大全

    1下载:
  2. 十大滤波算法,经典可用,TEXT,word格式,包含一阶滞后滤波法、中位值滤波法、消抖滤波法、限幅平均滤波法、限幅消抖滤波法、限幅滤波法(程序判断滤波法)、算术平均滤波法、加权递推平均滤波法、递推平均滤波法(滑动平均滤波法)、AD滤波处理方法。(Ten filtering algorithms, classic and available, text, word format, including first-order lag filtering method, median filterin
  3. 所属分类:单片机开发

    • 发布日期:2020-11-07
    • 文件大小:8192
    • 提供者:爬山虎的脚
« 1 23 4 5 6 7 8 9 10 ... 16 »
搜珍网 www.dssz.com