CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乘法器

搜索资源列表

  1. add_multi

    1下载:
  2. 移位相加硬件乘法器,基于FPGA的VHDL语言编写的,含有全部文件-displacement add hardware multiplier, based on FPGA VHDL prepared, containing all the documents
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3466
    • 提供者:相耀
  1. lpm_mul

    0下载:
  2. 8*8的乘法器verilog源代码,经过编译仿真的,绝对真确,对初学者很有帮助-8 * 8 Multiplier verilog source code, compiled simulation, absolute authenticity, helpful for beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:27800
    • 提供者:刘东辉
  1. mult8x8

    0下载:
  2. 一个用VerilogHDL语言编写的8X8的乘法器-a Verilog HDL language used in the preparation of the multiplier 8X8
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17491
    • 提供者:胡东
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. verilog_multiplier

    1下载:
  2. verilog实现16*16位乘法器,带测试文件-verilog achieve 16 * 16 multiplier, with test documents
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:25697
    • 提供者:zzm
  1. comple_mult

    0下载:
  2. matlab下,使用dspbuilder实现的复数乘法器模块的源码-Matlab, the use of the plural dspbuilder achieve multiplier module FOSS
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13404
    • 提供者:孙昱
  1. and1

    0下载:
  2. 用VHDL语言编写的三位二进制的乘法器,其原理是每位相乘后再错位相加-using VHDL prepared by the three binary multipliers, the principle is that each subsequent dislocation multiplication sum
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:36528
    • 提供者:yanyuntao
  1. downcnt

    0下载:
  2. 倒数计数器,用于各种乘法器的应用,或者其他应用当中-countdown counter, the multiplier used for various applications, or other applications which
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:782
    • 提供者:朱盼
  1. mux4x1_vhdl

    0下载:
  2. mux4*1 vhdl 乘法器源码 经过测试直接可用-mux4 * a source vhdl multiplier can be directly tested
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:3841
    • 提供者:南晓波
  1. lookup_multi

    0下载:
  2. //4×4 查找表乘法器 module mult4x4(out,a,b,clk) output[7:0] out input[3:0] a,b input clk reg[7:0] out reg[1:0] firsta,firstb reg[1:0] seconda,secondb wire[3:0] outa,outb,outc,outd always @(posedge clk) begin firsta = a[3:2] se
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:816
    • 提供者:stevephen
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. 4mult

    0下载:
  2. 可用的4位乘法器,用VHDL在FPGA中实现-available four multipliers, FPGA VHDL in achieving
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1068
    • 提供者:江良伟
  1. 128bitminus

    0下载:
  2. 128乘法模拟器 c M位乘N位不带符号整数的阵列乘法中加法---移位操作的被加数矩阵.每一部分乘积项ab叫做一个被加数.m*n个被加数可以用m*n个”与门”并行的产生. 以5位乘5位不带符号的阵列乘法器(m=n=5)为例(如下图): FA为一位全加器,FA的斜线方向为进位输出,竖线方向为和输出,而所有被加数项的排列和正常的A*B=P乘法过程中的被加数矩阵相同.图中用矩形围成的阵列中最后一行构成一个行波进位加法器,其时间延迟为(n-1)2T.当然,为了缩短加法时间,最后一行的行
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:47357
    • 提供者:fred
  1. MutiplierDesign

    0下载:
  2. 流水线乘法器,vhdl语言描述, 希望对大家有所帮助 -pipelined multipliers, vhdl language, we hope to help
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:2508
    • 提供者:chenwei
  1. ycrcb_rgb

    0下载:
  2. YUV转RGB的源程序,使用到了硬件加速器,可利用FGPA的乘法器加速处理速度。-YUV to RGB source, the use of a hardware accelerator, FGPA can be used to speed up the processing speed multiplier.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:108323
    • 提供者:cloud
  1. 15_MUX41

    0下载:
  2. 乘法器,用VHDL语言编码,可能对你用处不是很大,但做为参考还是很大用处的-multiplier using VHDL coding, you may not have much use, but as a reference or very useful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:6785
    • 提供者:jinlong
  1. 32×32

    0下载:
  2. 32×32乘法器的一种设计.pdf32×32乘法器的一种设计.pdf
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:252344
    • 提供者:yangguang
  1. shixuchengfa

    1下载:
  2. 时序乘法器,8位x8位,vhdl语言.仿真验证过了.多多交流!-sequential multiplier, eight x8 spaces vhdl language. Simulation before. Interact more!
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1847
    • 提供者:天禄
  1. Booth_mutipler

    0下载:
  2. 布思基四乘法器实现,很好用,快来看,希望对大家有所帮助.-Busaiji four multiplier, useful, Come see, we want to help.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1484271
    • 提供者:fghgh
« 1 2 3 4 5 6 78 9 10 11 12 ... 44 »
搜珍网 www.dssz.com